00001 <?php
00002 $BEAUT_PATH = realpath(".")."/syntax_highlight/php";
00003 if (!isset ($BEAUT_PATH)) return;
00004 require_once("$BEAUT_PATH/Beautifier/HFile.php");
00005 class HFile_verilog extends HFile{
00006 function HFile_verilog(){
00007 $this->HFile();
00008
00009
00010
00011
00012
00013
00014 $this->nocase = "0";
00015 $this->notrim = "0";
00016 $this->perl = "0";
00017
00018
00019
00020 $this->colours = array("blue", "gray", "purple");
00021 $this->quotecolour = "blue";
00022 $this->blockcommentcolour = "green";
00023 $this->linecommentcolour = "green";
00024
00025
00026
00027 $this->indent = array();
00028 $this->unindent = array();
00029
00030
00031
00032 $this->stringchars = array();
00033 $this->delimiters = array();
00034 $this->escchar = "";
00035
00036
00037
00038 $this->linecommenton = array("");
00039 $this->blockcommenton = array("");
00040 $this->blockcommentoff = array("");
00041
00042
00043
00044 $this->keywords = array(
00045 "/L10" => "",
00046 "Line" => "",
00047 "Comment" => "",
00048 "=" => "",
00049 "//" => "",
00050 "Block" => "",
00051 "On" => "",
00052 "/*" => "",
00053 "Off" => "",
00054 "*/" => "",
00055 "String" => "",
00056 "Chars" => "",
00057 "\"" => "",
00058 "File" => "",
00059 "Extensions" => "",
00060 "V" => "",
00061 "VMD" => "",
00062 "always" => "1",
00063 "and" => "1",
00064 "assign" => "1",
00065 "begin" => "1",
00066 "buf" => "1",
00067 "bufif0" => "1",
00068 "bufif1" => "1",
00069 "case" => "1",
00070 "casex" => "1",
00071 "casez" => "1",
00072 "cmos" => "1",
00073 "deassign" => "1",
00074 "default" => "1",
00075 "defparam" => "1",
00076 "disable" => "1",
00077 "edge" => "1",
00078 "else" => "3",
00079 "end" => "1",
00080 "endcase" => "1",
00081 "endmodule" => "1",
00082 "endfunction" => "1",
00083 "endprimitive" => "1",
00084 "endspecify" => "1",
00085 "endtable" => "1",
00086 "endtask" => "1",
00087 "event" => "1",
00088 "for" => "1",
00089 "force" => "1",
00090 "forever" => "1",
00091 "fork" => "1",
00092 "function" => "1",
00093 "highz0" => "1",
00094 "highz1" => "1",
00095 "if" => "1",
00096 "initial" => "1",
00097 "inout" => "1",
00098 "input" => "1",
00099 "integer" => "1",
00100 "join" => "1",
00101 "large" => "1",
00102 "Library" => "1",
00103 "macromodule" => "1",
00104 "medium" => "1",
00105 "module" => "1",
00106 "nand" => "1",
00107 "negedge" => "1",
00108 "nmos" => "1",
00109 "nor" => "1",
00110 "not" => "1",
00111 "notif0" => "1",
00112 "notif1" => "1",
00113 "or" => "1",
00114 "output" => "1",
00115 "parameter" => "1",
00116 "pmos" => "1",
00117 "posedge" => "1",
00118 "primitive" => "1",
00119 "pull0" => "1",
00120 "pull1" => "1",
00121 "pullup" => "1",
00122 "pulldown" => "1",
00123 "rcmos" => "1",
00124 "reg" => "1",
00125 "release" => "1",
00126 "repeat" => "1",
00127 "rnmos" => "1",
00128 "rpmos" => "1",
00129 "rtran" => "1",
00130 "rtranif0" => "1",
00131 "rtanif1" => "1",
00132 "scalared" => "1",
00133 "small" => "1",
00134 "specify" => "1",
00135 "specparam" => "1",
00136 "strength" => "1",
00137 "strong0" => "1",
00138 "strong1" => "1",
00139 "supply0" => "1",
00140 "supply1" => "1",
00141 "table" => "1",
00142 "task" => "1",
00143 "time" => "1",
00144 "tran" => "1",
00145 "tranif0" => "1",
00146 "tranif1" => "1",
00147 "tri1" => "1",
00148 "tri0" => "1",
00149 "triand" => "1",
00150 "trior" => "1",
00151 "trireg" => "1",
00152 "vectored" => "1",
00153 "wait" => "1",
00154 "wand" => "1",
00155 "weak0" => "1",
00156 "weak1" => "1",
00157 "while" => "1",
00158 "wire" => "1",
00159 "wor" => "1",
00160 "xnor" => "1",
00161 "xor" => "1",
00162 "$bitstoreal" => "2",
00163 "$countdrivers" => "2",
00164 "$display" => "2",
00165 "$fclose" => "2",
00166 "$fdisplay" => "2",
00167 "$finish" => "2",
00168 "$fmonitor" => "2",
00169 "$fopen" => "2",
00170 "$fstrobe" => "2",
00171 "$fwrite" => "2",
00172 "$getpattern" => "2",
00173 "$history" => "2",
00174 "$hold" => "2",
00175 "$incsave" => "2",
00176 "$input" => "2",
00177 "$itor" => "2",
00178 "$key" => "2",
00179 "$list" => "2",
00180 "$log" => "2",
00181 "$monitor" => "2",
00182 "$monitoroff" => "2",
00183 "$monitoron" => "2",
00184 "$nokey" => "2",
00185 "$nolog" => "2",
00186 "$period" => "2",
00187 "$printtimescale" => "2",
00188 "$readmemb" => "2",
00189 "$readmemh" => "2",
00190 "$realtime" => "2",
00191 "$realtobits" => "2",
00192 "$recovery" => "2",
00193 "$reset" => "2",
00194 "$reset_count" => "2",
00195 "$reset_value" => "2",
00196 "$restart" => "2",
00197 "$rtoi" => "2",
00198 "$save" => "2",
00199 "$scale" => "2",
00200 "$scope" => "2",
00201 "$setup" => "2",
00202 "$setuphold" => "2",
00203 "$showscopes" => "2",
00204 "$showvariables" => "2",
00205 "$showvars" => "2",
00206 "$skew" => "2",
00207 "$sreadmemb" => "2",
00208 "$sreadmemh" => "2",
00209 "$stime" => "2",
00210 "$stop" => "2",
00211 "$strobe" => "2",
00212 "$time" => "2",
00213 "$timeformat" => "2",
00214 "$width" => "2",
00215 "$write" => "2",
00216 "`accelerate" => "3",
00217 "`autoexepand_vectornets" => "3",
00218 "`celldefine" => "3",
00219 "`default_nettype" => "3",
00220 "`define" => "3",
00221 "`else" => "3",
00222 "`endcelldefine" => "3",
00223 "`endif" => "3",
00224 "`endprotect" => "3",
00225 "`endprotected" => "3",
00226 "`expand_vectornets" => "3",
00227 "`ifdef" => "3",
00228 "`include" => "3",
00229 "`noaccelerate" => "3",
00230 "`noexpand_vectornets" => "3",
00231 "`noremove_gatenames" => "3",
00232 "`noremove_netnames" => "3",
00233 "`nounconnected_drive" => "3",
00234 "`protect" => "3",
00235 "`protected" => "3",
00236 "`remove_gatenames" => "3",
00237 "`remove_netnames" => "3",
00238 "`resetall" => "3",
00239 "`timescale" => "3",
00240 "`unconnected_drive" => "3",
00241 "accelerate" => "3",
00242 "autoexepand_vectornets" => "3",
00243 "celldefine" => "3",
00244 "default_nettype" => "3",
00245 "define" => "3",
00246 "endcelldefine" => "3",
00247 "endif" => "3",
00248 "endprotect" => "3",
00249 "endprotected" => "3",
00250 "expand_vectornets" => "3",
00251 "ifdef" => "3",
00252 "include" => "3",
00253 "noaccelerate" => "3",
00254 "noexpand_vectornets" => "3",
00255 "noremove_gatenames" => "3",
00256 "noremove_netnames" => "3",
00257 "nounconnected_drive" => "3",
00258 "protect" => "3",
00259 "protected" => "3",
00260 "remove_gatenames" => "3",
00261 "remove_netnames" => "3",
00262 "resetall" => "3",
00263 "timescale" => "3",
00264 "unconnected_drive" => "3",
00265 "," => "3",
00266 ";" => "3",
00267 "{" => "3",
00268 "}" => "3",
00269 "+" => "3",
00270 "-" => "3",
00271 "*" => "3",
00272 "/" => "3",
00273 "%" => "3",
00274 ">" => "3",
00275 ">=" => "3",
00276 ">>" => "3",
00277 "<" => "3",
00278 "<=" => "3",
00279 "<<" => "3",
00280 "!" => "3",
00281 "!=" => "3",
00282 "!==" => "3",
00283 "&" => "3",
00284 "&&" => "3",
00285 "|" => "3",
00286 "||" => "3",
00287 "==" => "3",
00288 "===" => "3",
00289 "^" => "3",
00290 "^~" => "3",
00291 "~" => "3",
00292 "~^" => "3",
00293 "~&" => "3",
00294 "~|" => "3",
00295 "?" => "3",
00296 ":" => "3",
00297 "#" => "3",
00298 "@" => "3");
00299
00300
00301
00302
00303
00304
00305
00306
00307 $this->linkscripts = array(
00308 "" => "donothing",
00309 "1" => "donothing",
00310 "3" => "donothing",
00311 "2" => "donothing");
00312 }
00313
00314
00315 function donothing($keywordin)
00316 {
00317 return $keywordin;
00318 }
00319
00320 }?>