ILIAS  release_5-0 Revision 5.0.0-1144-gc4397b1f87
HFile_acpi Class Reference
+ Inheritance diagram for HFile_acpi:
+ Collaboration diagram for HFile_acpi:

Public Member Functions

 HFile_acpi ()
 
 donothing ($keywordin)
 
- Public Member Functions inherited from HFile
 HFile ()
 
 parse_file ($file)
 
 to_perl ($stub, $tofile=1)
 
 to_php ($stub, $tofile=1)
 
 _get_categories ()
 
 _dump_linkscripts ()
 
 _dump_perl_linkscripts ()
 
 _dump_perl_defaultscripts ()
 
 _dump_colours ()
 
 _dump_var ($variable, $name)
 
 _dump_array ($array, $name)
 
 _dump_perl_array ($array, $name)
 
 _dump_hash ($hash, $name)
 
 _dump_perl_hash ($hash, $name)
 

Detailed Description

Definition at line 5 of file HFile_acpi.php.

Member Function Documentation

◆ donothing()

HFile_acpi::donothing (   $keywordin)

Definition at line 167 of file HFile_acpi.php.

168 {
169  return $keywordin;
170 }

◆ HFile_acpi()

HFile_acpi::HFile_acpi ( )

Definition at line 6 of file HFile_acpi.php.

References HFile\HFile().

6  {
7  $this->HFile();
8 /*************************************/
9 // Beautifier Highlighting Configuration File
10 // ASL
11 /*************************************/
12 // Flags
13 
14 $this->nocase = "0";
15 $this->notrim = "0";
16 $this->perl = "0";
17 
18 // Colours
19 
20 $this->colours = array("blue", "purple", "gray", "brown", "blue", "purple", "gray");
21 $this->quotecolour = "blue";
22 $this->blockcommentcolour = "green";
23 $this->linecommentcolour = "green";
24 
25 // Indent Strings
26 
27 $this->indent = array("{");
28 $this->unindent = array("}");
29 
30 // String characters and delimiters
31 
32 $this->stringchars = array("\"", "'");
33 $this->delimiters = array("~", "!", "@", "%", "^", "&", "*", "(", ")", "-", "+", "=", "|", "\\", "/", "{", "}", "[", "]", ":", ";", "\"", "'", "<", ">", " ", ",", " ", ".", "?");
34 $this->escchar = "";
35 
36 // Comment settings
37 
38 $this->linecommenton = array("//");
39 $this->blockcommenton = array("");
40 $this->blockcommentoff = array("");
41 
42 // Keywords (keyword mapping to colour number)
43 
44 $this->keywords = array(
45  "Break" => "1",
46  "BreakPoint" => "1",
47  "Continue" => "1",
48  "Else" => "1",
49  "ElseIf" => "1",
50  "Fatal" => "1",
51  "If" => "1",
52  "Load" => "1",
53  "Noop" => "1",
54  "Notify" => "1",
55  "Release" => "1",
56  "Reset" => "1",
57  "Return" => "1",
58  "Signal" => "1",
59  "Sleep" => "1",
60  "Stall" => "1",
61  "Switch" => "1",
62  "Unload" => "1",
63  "While" => "1",
64  "BankField" => "2",
65  "CreateBitField" => "2",
66  "CreateByteField" => "2",
67  "CreateDWordField" => "2",
68  "CreateField" => "2",
69  "CreateQWordField" => "2",
70  "CreateWordField" => "2",
71  "DataTableRegion" => "2",
72  "Device" => "2",
73  "Event" => "2",
74  "Field" => "2",
75  "IndexField" => "2",
76  "Method" => "2",
77  "Mutex" => "2",
78  "OperationRegion" => "2",
79  "PowerResource" => "2",
80  "Processor" => "2",
81  "ThermalZone" => "2",
82  "CMOS" => "3",
83  "EmbeddedControl" => "3",
84  "PCI_Config" => "3",
85  "PciBarTarget" => "3",
86  "SMBus" => "3",
87  "SystemIO" => "3",
88  "SystemMemory" => "3",
89  "AnyAcc" => "4",
90  "BufferAcc" => "4",
91  "ByteAcc" => "4",
92  "DWordAcc" => "4",
93  "QWordAcc" => "4",
94  "WordAcc" => "4",
95  "Alias" => "5",
96  "Name" => "5",
97  "Scope" => "5",
98  "Acquire" => "6",
99  "Add" => "6",
100  "And" => "6",
101  "Buff" => "6",
102  "Concatenate" => "6",
103  "ConcatenateResTemplate" => "6",
104  "CondRefOf" => "6",
105  "Decrement" => "6",
106  "DecStr" => "6",
107  "DerefOf" => "6",
108  "Divide" => "6",
109  "FindSetLeftBit" => "6",
110  "FindSetRightBit" => "6",
111  "FromBCD" => "6",
112  "HexStr" => "6",
113  "Increment" => "6",
114  "Index" => "6",
115  "Int" => "6",
116  "LAnd" => "6",
117  "LEqual" => "6",
118  "LGreater" => "6",
119  "LGreaterEqual" => "6",
120  "LLess" => "6",
121  "LLessEqual" => "6",
122  "LNot" => "6",
123  "LNotEqual" => "6",
124  "LoadTable" => "6",
125  "LOr" => "6",
126  "Match" => "6",
127  "Mid" => "6",
128  "Mod" => "6",
129  "Multiply" => "6",
130  "NAnd" => "6",
131  "NOr" => "6",
132  "Not" => "6",
133  "ObjectType" => "6",
134  "Or" => "6",
135  "RefOf" => "6",
136  "ShiftLeft" => "6",
137  "ShiftRight" => "6",
138  "SizeOf" => "6",
139  "Store" => "6",
140  "String" => "6",
141  "Subtract" => "6",
142  "ToBCD" => "6",
143  "Wait" => "6",
144  "Xor" => "6",
145  "Integer" => "7",
146  "Sting" => "7",
147  "Buffer" => "7");
148 
149 // Special extensions
150 
151 // Each category can specify a PHP function that returns an altered
152 // version of the keyword.
153 
154 
155 
156 $this->linkscripts = array(
157  "1" => "donothing",
158  "2" => "donothing",
159  "3" => "donothing",
160  "4" => "donothing",
161  "5" => "donothing",
162  "6" => "donothing",
163  "7" => "donothing");
164 }
HFile()
Definition: HFile.php:24
+ Here is the call graph for this function:

The documentation for this class was generated from the following file: