ILIAS  release_5-0 Revision 5.0.0-1144-gc4397b1f87
HFile_ahdl Class Reference
+ Inheritance diagram for HFile_ahdl:
+ Collaboration diagram for HFile_ahdl:

Public Member Functions

 HFile_ahdl ()
 
 donothing ($keywordin)
 
- Public Member Functions inherited from HFile
 HFile ()
 
 parse_file ($file)
 
 to_perl ($stub, $tofile=1)
 
 to_php ($stub, $tofile=1)
 
 _get_categories ()
 
 _dump_linkscripts ()
 
 _dump_perl_linkscripts ()
 
 _dump_perl_defaultscripts ()
 
 _dump_colours ()
 
 _dump_var ($variable, $name)
 
 _dump_array ($array, $name)
 
 _dump_perl_array ($array, $name)
 
 _dump_hash ($hash, $name)
 
 _dump_perl_hash ($hash, $name)
 

Detailed Description

Definition at line 5 of file HFile_ahdl.php.

Member Function Documentation

◆ donothing()

HFile_ahdl::donothing (   $keywordin)

Definition at line 175 of file HFile_ahdl.php.

176 {
177  return $keywordin;
178 }

◆ HFile_ahdl()

HFile_ahdl::HFile_ahdl ( )

Definition at line 6 of file HFile_ahdl.php.

References HFile\HFile().

6  {
7  $this->HFile();
8 /*************************************/
9 // Beautifier Highlighting Configuration File
10 // AHDL
11 /*************************************/
12 // Flags
13 
14 $this->nocase = "1";
15 $this->notrim = "0";
16 $this->perl = "0";
17 
18 // Colours
19 
20 $this->colours = array("blue", "purple", "gray");
21 $this->quotecolour = "blue";
22 $this->blockcommentcolour = "green";
23 $this->linecommentcolour = "green";
24 
25 // Indent Strings
26 
27 $this->indent = array("BEGIN");
28 $this->unindent = array("END");
29 
30 // String characters and delimiters
31 
32 $this->stringchars = array();
33 $this->delimiters = array("{", "}", "[", "]", ":", ";", "\"", "'", "<", ">", " ", ",", ".", "?", "(", ")", " ", "~", "!", "@", "$", "^", "&", "*", "+", "=", "|", "\\", "/");
34 $this->escchar = "";
35 
36 // Comment settings
37 
38 $this->linecommenton = array("-- ");
39 $this->blockcommenton = array("%");
40 $this->blockcommentoff = array("%");
41 
42 // Keywords (keyword mapping to colour number)
43 
44 $this->keywords = array(
45  "and" => "1",
46  "assert" => "1",
47  "begin" => "1",
48  "bidir" => "1",
49  "bits" => "1",
50  "buried" => "1",
51  "case" => "1",
52  "ceil" => "1",
53  "clique" => "1",
54  "connected_pins" => "1",
55  "constant" => "1",
56  "defaults" => "1",
57  "define" => "1",
58  "design" => "1",
59  "device" => "1",
60  "div" => "1",
61  "else" => "1",
62  "elsif" => "1",
63  "end" => "1",
64  "for" => "1",
65  "function" => "1",
66  "generate" => "1",
67  "gnd" => "1",
68  "help_id" => "1",
69  "if" => "1",
70  "include" => "1",
71  "input" => "1",
72  "is" => "1",
73  "log2" => "1",
74  "machine" => "1",
75  "mod" => "1",
76  "nand" => "1",
77  "node" => "1",
78  "nor" => "1",
79  "not" => "1",
80  "of" => "1",
81  "options" => "1",
82  "or" => "1",
83  "others" => "1",
84  "output" => "1",
85  "parameters" => "1",
86  "report" => "1",
87  "returns" => "1",
88  "segments" => "1",
89  "severity" => "1",
90  "states" => "1",
91  "subdesign" => "1",
92  "table" => "1",
93  "then" => "1",
94  "title" => "1",
95  "to" => "1",
96  "tri_state_node" => "1",
97  "variable" => "1",
98  "vcc" => "1",
99  "when" => "1",
100  "with" => "1",
101  "xnor" => "1",
102  "xor" => "1",
103  "carry" => "2",
104  "cascade" => "2",
105  "dff" => "2",
106  "dffe" => "2",
107  "exp" => "2",
108  "floor" => "2",
109  "global" => "2",
110  "jkff" => "2",
111  "jkffe" => "2",
112  "latch" => "2",
113  "lcell" => "2",
114  "mcell" => "2",
115  "memory" => "2",
116  "opendrn" => "2",
117  "soft" => "2",
118  "srff" => "2",
119  "srffe" => "2",
120  "tff" => "2",
121  "tffe" => "2",
122  "tri" => "2",
123  "used" => "2",
124  "wire" => "2",
125  "altdpram" => "3",
126  "busmux" => "3",
127  "csdpram" => "3",
128  "csfifo" => "3",
129  "dcfifo" => "3",
130  "divide" => "3",
131  "lpm_abs" => "3",
132  "lpm_add_sub" => "3",
133  "lpm_and" => "3",
134  "lpm_bustri" => "3",
135  "lpm_clshift" => "3",
136  "lpm_compare" => "3",
137  "lpm_constant" => "3",
138  "lpm_counter" => "3",
139  "lpm_decode" => "3",
140  "lpm_dff" => "3",
141  "lpm_divide" => "3",
142  "lpm_ff" => "3",
143  "lpm_fifo" => "3",
144  "lpm_fifo_dc" => "3",
145  "lpm_inv" => "3",
146  "lpm_latch" => "3",
147  "lpm_mult" => "3",
148  "lpm_mux" => "3",
149  "lpm_or" => "3",
150  "lpm_ram_dp" => "3",
151  "lpm_ram_dq" => "3",
152  "lpm_ram_io" => "3",
153  "lpm_rom" => "3",
154  "lpm_shiftreg" => "3",
155  "lpm_tff" => "3",
156  "lpm_xor" => "3",
157  "mux" => "3",
158  "ntsc" => "3",
159  "scfifo" => "3");
160 
161 // Special extensions
162 
163 // Each category can specify a PHP function that returns an altered
164 // version of the keyword.
165 
166 
167 
168 $this->linkscripts = array(
169  "1" => "donothing",
170  "2" => "donothing",
171  "3" => "donothing");
172 }
HFile()
Definition: HFile.php:24
+ Here is the call graph for this function:

The documentation for this class was generated from the following file: