ILIAS  release_5-0 Revision 5.0.0-1144-gc4397b1f87
HFile_asm2106 Class Reference
+ Inheritance diagram for HFile_asm2106:
+ Collaboration diagram for HFile_asm2106:

Public Member Functions

 HFile_asm2106 ()
 
 donothing ($keywordin)
 
- Public Member Functions inherited from HFile
 HFile ()
 
 parse_file ($file)
 
 to_perl ($stub, $tofile=1)
 
 to_php ($stub, $tofile=1)
 
 _get_categories ()
 
 _dump_linkscripts ()
 
 _dump_perl_linkscripts ()
 
 _dump_perl_defaultscripts ()
 
 _dump_colours ()
 
 _dump_var ($variable, $name)
 
 _dump_array ($array, $name)
 
 _dump_perl_array ($array, $name)
 
 _dump_hash ($hash, $name)
 
 _dump_perl_hash ($hash, $name)
 

Detailed Description

Definition at line 5 of file HFile_asm2106.php.

Member Function Documentation

◆ donothing()

HFile_asm2106::donothing (   $keywordin)

Definition at line 498 of file HFile_asm2106.php.

499 {
500  return $keywordin;
501 }

◆ HFile_asm2106()

HFile_asm2106::HFile_asm2106 ( )

Definition at line 6 of file HFile_asm2106.php.

References HFile\HFile().

6  {
7  $this->HFile();
8 /*************************************/
9 // Beautifier Highlighting Configuration File
10 // 2106x Assembly
11 /*************************************/
12 // Flags
13 
14 $this->nocase = "1";
15 $this->notrim = "0";
16 $this->perl = "0";
17 
18 // Colours
19 
20 $this->colours = array("blue", "purple", "gray", "brown");
21 $this->quotecolour = "blue";
22 $this->blockcommentcolour = "green";
23 $this->linecommentcolour = "green";
24 
25 // Indent Strings
26 
27 $this->indent = array();
28 $this->unindent = array();
29 
30 // String characters and delimiters
31 
32 $this->stringchars = array("\"", "'");
33 $this->delimiters = array("~", "!", "@", "%", "^", "&", "*", "(", ")", "-", "+", "=", "|", "\\", "/", "[", "]", ":", ";", "\"", "'", "<", ">", " ", ",", " ", ".", "?");
34 $this->escchar = "";
35 
36 // Comment settings
37 
38 $this->linecommenton = array("{");
39 $this->blockcommenton = array("/*");
40 $this->blockcommentoff = array("*/");
41 
42 // Keywords (keyword mapping to colour number)
43 
44 $this->keywords = array(
45  "#define" => "1",
46  "#error" => "1",
47  "#include" => "1",
48  "#elif" => "1",
49  "#if" => "1",
50  "#line" => "1",
51  "#else" => "1",
52  "#ifdef" => "1",
53  "#pragma" => "1",
54  "#endif" => "1",
55  "#ifndef" => "1",
56  "#undef" => "1",
57  "abs" => "1",
58  "AC" => "1",
59  "AF" => "1",
60  "AI" => "1",
61  "AIS" => "1",
62  "ALUSAT" => "1",
63  "AN" => "1",
64  "and" => "1",
65  "AOS" => "1",
66  "AS" => "1",
67  "ashift" => "1",
68  "astat" => "1",
69  "AUS" => "1",
70  "AV" => "1",
71  "AVS" => "1",
72  "AZ" => "1",
73  "bclr" => "1",
74  "BCNT" => "1",
75  "bit" => "1",
76  "bitrev" => "1",
77  "BMAX" => "1",
78  "BR0" => "1",
79  "BR8" => "1",
80  "bset" => "1",
81  "BTF" => "1",
82  "btgl" => "1",
83  "btst" => "1",
84  "BUSLK" => "1",
85  "by" => "1",
86  "C0" => "1",
87  "C1" => "1",
88  "C4" => "1",
89  "C5" => "1",
90  "C6" => "1",
91  "C7" => "1",
92  "C8" => "1",
93  "C9" => "1",
94  "CACC0" => "1",
95  "CACC1" => "1",
96  "CACC2" => "1",
97  "CACC3" => "1",
98  "CACC4" => "1",
99  "CACC5" => "1",
100  "CACC6" => "1",
101  "CACC7" => "1",
102  "CADIS" => "1",
103  "CAFRZ" => "1",
104  "call" => "1",
105  "CB15I" => "1",
106  "CB15S" => "1",
107  "CB7I" => "1",
108  "CB7S" => "1",
109  "cjump" => "1",
110  "clip" => "1",
111  "clr" => "1",
112  "comp" => "1",
113  "copysign" => "1",
114  "CP0" => "1",
115  "CP1" => "1",
116  "CP4" => "1",
117  "CP5" => "1",
118  "CP6" => "1",
119  "CP7" => "1",
120  "CP8" => "1",
121  "CP9" => "1",
122  "CSEL" => "1",
123  "DA0" => "1",
124  "DA1" => "1",
125  "DA4" => "1",
126  "DA5" => "1",
127  "DB0" => "1",
128  "DB1" => "1",
129  "DB4" => "1",
130  "DB5" => "1",
131  "dm" => "1",
132  "DMAC6" => "1",
133  "DMAC7" => "1",
134  "DMAC8" => "1",
135  "DMAC9" => "1",
136  "DMASTAT" => "1",
137  "do" => "1",
138  "EC6" => "1",
139  "EC7" => "1",
140  "EC8" => "1",
141  "EC9" => "1",
142  "EI6" => "1",
143  "EI7" => "1",
144  "EI8" => "1",
145  "EI9" => "1",
146  "ELAST" => "1",
147  "EM6" => "1",
148  "EM7" => "1",
149  "EM8" => "1",
150  "EM9" => "1",
151  "endseg" => "1",
152  "EP0I" => "1",
153  "EP1I" => "1",
154  "EP2I" => "1",
155  "EP3I" => "1",
156  "EPB0" => "1",
157  "EPB1" => "1",
158  "EPB2" => "1",
159  "EPB3" => "1",
160  "extern" => "1",
161  "fdep" => "1",
162  "fext" => "1",
163  "fix" => "1",
164  "FIXI" => "1",
165  "FLG0" => "1",
166  "FLG0O" => "1",
167  "FLG1" => "1",
168  "FLG1O" => "1",
169  "FLG2" => "1",
170  "FLG2O" => "1",
171  "FLG3" => "1",
172  "FLG3O" => "1",
173  "float" => "1",
174  "FLTII" => "1",
175  "FLTOI" => "1",
176  "FLTUI" => "1",
177  "fpack" => "1",
178  "funpack" => "1",
179  "global" => "1",
180  "GP0" => "1",
181  "GP1" => "1",
182  "GP4" => "1",
183  "GP5" => "1",
184  "GP6" => "1",
185  "GP7" => "1",
186  "GP8" => "1",
187  "GP9" => "1",
188  "idle" => "1",
189  "idle16" => "1",
190  "if" => "1",
191  "II0" => "1",
192  "II1" => "1",
193  "II2" => "1",
194  "II4" => "1",
195  "II5" => "1",
196  "II6" => "1",
197  "II7" => "1",
198  "II8" => "1",
199  "II9" => "1",
200  "IM0" => "1",
201  "IM1" => "1",
202  "IM2" => "1",
203  "IM4" => "1",
204  "IM5" => "1",
205  "IM6" => "1",
206  "IM7" => "1",
207  "IM8" => "1",
208  "IM9" => "1",
209  "imask" => "1",
210  "imaskp" => "1",
211  "IRPTEN" => "1",
212  "irptl" => "1",
213  "IRQ0E" => "1",
214  "IRQ0I" => "1",
215  "IRQ1E" => "1",
216  "IRQ1I" => "1",
217  "IRQ2E" => "1",
218  "IRQ2I" => "1",
219  "je" => "1",
220  "jne" => "1",
221  "jump" => "1",
222  "lce" => "1",
223  "lcntr" => "1",
224  "lefto" => "1",
225  "leftz" => "1",
226  "logb" => "1",
227  "LSEM" => "1",
228  "lshift" => "1",
229  "LSOV" => "1",
230  "mant" => "1",
231  "max" => "1",
232  "MI" => "1",
233  "min" => "1",
234  "MIS" => "1",
235  "MN" => "1",
236  "mode1" => "1",
237  "mode2" => "1",
238  "modify" => "1",
239  "MOS" => "1",
240  "MSGR0" => "1",
241  "MSGR1" => "1",
242  "MSGR2" => "1",
243  "MSGR3" => "1",
244  "MSGR4" => "1",
245  "MSGR5" => "1",
246  "MSGR6" => "1",
247  "MSGR7" => "1",
248  "MU" => "1",
249  "MUS" => "1",
250  "MV" => "1",
251  "MVS" => "1",
252  "NESTM" => "1",
253  "nop" => "1",
254  "not" => "1",
255  "or" => "1",
256  "pass" => "1",
257  "PCEM" => "1",
258  "PCFL" => "1",
259  "pm" => "1",
260  "pop" => "1",
261  "push" => "1",
262  "px" => "1",
263  "px1" => "1",
264  "px2" => "1",
265  "recips" => "1",
266  "rframe" => "1",
267  "rnd" => "1",
268  "RND32" => "1",
269  "rot" => "1",
270  "rsqrts" => "1",
271  "RSTI" => "1",
272  "rti" => "1",
273  "rts" => "1",
274  "sat" => "1",
275  "scalb" => "1",
276  "segment" => "1",
277  "set" => "1",
278  "SFT0I" => "1",
279  "SFT1I" => "1",
280  "SFT2I" => "1",
281  "SFT3I" => "1",
282  "SOVFI" => "1",
283  "SPR0I" => "1",
284  "SPR1I" => "1",
285  "SPT0I" => "1",
286  "SPT1I" => "1",
287  "SRCU" => "1",
288  "SRD1H" => "1",
289  "SRD1L" => "1",
290  "SRD2H" => "1",
291  "SRD2L" => "1",
292  "SRRFH" => "1",
293  "SRRFL" => "1",
294  "SS" => "1",
295  "SSE" => "1",
296  "SSEM" => "1",
297  "SSOV" => "1",
298  "stky" => "1",
299  "SV" => "1",
300  "SYSCON" => "1",
301  "SYSTAT" => "1",
302  "SZ" => "1",
303  "TCOUNT" => "1",
304  "tgl" => "1",
305  "TIMEN" => "1",
306  "TMZHI" => "1",
307  "TMZLI" => "1",
308  "TPERIOD" => "1",
309  "trunc" => "1",
310  "TRUNCATE" => "1",
311  "tst" => "1",
312  "until" => "1",
313  "ustat1" => "1",
314  "ustat2" => "1",
315  "var" => "1",
316  "VIRPT" => "1",
317  "VIRPTI" => "1",
318  "WAIT" => "1",
319  "xor" => "1",
320  "CP2" => "2",
321  "DA2" => "2",
322  "DB2" => "2",
323  "GP2" => "2",
324  "II3" => "2",
325  "IM3" => "2",
326  "BSO" => "3",
327  "BSYN" => "3",
328  "CP3" => "3",
329  "CRBM" => "3",
330  "DA3" => "3",
331  "DB3" => "3",
332  "DCPR" => "3",
333  "DWPD" => "3",
334  "EBPR00" => "3",
335  "EBPR01" => "3",
336  "EBPR10" => "3",
337  "GP3" => "3",
338  "HMSWF" => "3",
339  "HPFLSH" => "3",
340  "HPM00" => "3",
341  "HPM01" => "3",
342  "HPM10" => "3",
343  "HPM11" => "3",
344  "HPS" => "3",
345  "HSTM" => "3",
346  "IDC" => "3",
347  "IIVT" => "3",
348  "IMDW0X" => "3",
349  "IMDW1X" => "3",
350  "IMGR" => "3",
351  "IWT" => "3",
352  "KEYMASK0" => "3",
353  "KEYMASK1" => "3",
354  "KEYWD0" => "3",
355  "KEYWD1" => "3",
356  "MRCCS0" => "3",
357  "MRCCS1" => "3",
358  "MRCS0" => "3",
359  "MRCS1" => "3",
360  "MTCCS0" => "3",
361  "MTCCS1" => "3",
362  "MTCS0" => "3",
363  "MTCS1" => "3",
364  "RCNT0" => "3",
365  "RCNT1" => "3",
366  "RDIV0" => "3",
367  "RDIV1" => "3",
368  "RX0" => "3",
369  "RX1" => "3",
370  "SPATH0" => "3",
371  "SPATH1" => "3",
372  "SPCNT0" => "3",
373  "SPCNT1" => "3",
374  "SRCTL0" => "3",
375  "SRCTL1" => "3",
376  "SRST" => "3",
377  "STCTL0" => "3",
378  "STCTL1" => "3",
379  "TCNT0" => "3",
380  "TCNT1" => "3",
381  "TDIV0" => "3",
382  "TDIV1" => "3",
383  "TX0" => "3",
384  "TX1" => "3",
385  "VIPD" => "3",
386  "b0" => "4",
387  "b1" => "4",
388  "b10" => "4",
389  "b11" => "4",
390  "b12" => "4",
391  "b13" => "4",
392  "b14" => "4",
393  "b15" => "4",
394  "b2" => "4",
395  "b3" => "4",
396  "b4" => "4",
397  "b5" => "4",
398  "b6" => "4",
399  "b7" => "4",
400  "b8" => "4",
401  "b9" => "4",
402  "f0" => "4",
403  "f1" => "4",
404  "f10" => "4",
405  "f11" => "4",
406  "f12" => "4",
407  "f13" => "4",
408  "f14" => "4",
409  "f15" => "4",
410  "f2" => "4",
411  "f3" => "4",
412  "f4" => "4",
413  "f5" => "4",
414  "f6" => "4",
415  "f7" => "4",
416  "f8" => "4",
417  "f9" => "4",
418  "i0" => "4",
419  "i1" => "4",
420  "i10" => "4",
421  "i11" => "4",
422  "i12" => "4",
423  "i13" => "4",
424  "i14" => "4",
425  "i15" => "4",
426  "i2" => "4",
427  "i3" => "4",
428  "i4" => "4",
429  "i5" => "4",
430  "i6" => "4",
431  "i7" => "4",
432  "i8" => "4",
433  "i9" => "4",
434  "l0" => "4",
435  "l1" => "4",
436  "l10" => "4",
437  "l11" => "4",
438  "l12" => "4",
439  "l13" => "4",
440  "l14" => "4",
441  "l15" => "4",
442  "l2" => "4",
443  "l3" => "4",
444  "l4" => "4",
445  "l5" => "4",
446  "l6" => "4",
447  "l7" => "4",
448  "l8" => "4",
449  "l9" => "4",
450  "m0" => "4",
451  "m1" => "4",
452  "m10" => "4",
453  "m11" => "4",
454  "m12" => "4",
455  "m13" => "4",
456  "m14" => "4",
457  "m15" => "4",
458  "m2" => "4",
459  "m3" => "4",
460  "m4" => "4",
461  "m5" => "4",
462  "m6" => "4",
463  "m7" => "4",
464  "m8" => "4",
465  "m9" => "4",
466  "r0" => "4",
467  "r1" => "4",
468  "r10" => "4",
469  "r11" => "4",
470  "r12" => "4",
471  "r13" => "4",
472  "r14" => "4",
473  "r15" => "4",
474  "r2" => "4",
475  "r3" => "4",
476  "r4" => "4",
477  "r5" => "4",
478  "r6" => "4",
479  "r7" => "4",
480  "r8" => "4",
481  "r9" => "4");
482 
483 // Special extensions
484 
485 // Each category can specify a PHP function that returns an altered
486 // version of the keyword.
487 
488 
489 
490 $this->linkscripts = array(
491  "1" => "donothing",
492  "2" => "donothing",
493  "3" => "donothing",
494  "4" => "donothing");
495 }
HFile()
Definition: HFile.php:24
+ Here is the call graph for this function:

The documentation for this class was generated from the following file: