ILIAS  release_5-1 Revision 5.0.0-5477-g43f3e3fab5
HFile_adsp2181 Class Reference
+ Inheritance diagram for HFile_adsp2181:
+ Collaboration diagram for HFile_adsp2181:

Public Member Functions

 HFile_adsp2181 ()
 
 donothing ($keywordin)
 
- Public Member Functions inherited from HFile
 HFile ()
 
 parse_file ($file)
 
 to_perl ($stub, $tofile=1)
 
 to_php ($stub, $tofile=1)
 
 _get_categories ()
 
 _dump_linkscripts ()
 
 _dump_perl_linkscripts ()
 
 _dump_perl_defaultscripts ()
 
 _dump_colours ()
 
 _dump_var ($variable, $name)
 
 _dump_array ($array, $name)
 
 _dump_perl_array ($array, $name)
 
 _dump_hash ($hash, $name)
 
 _dump_perl_hash ($hash, $name)
 

Detailed Description

Definition at line 5 of file HFile_adsp2181.php.

Member Function Documentation

◆ donothing()

HFile_adsp2181::donothing (   $keywordin)

Definition at line 226 of file HFile_adsp2181.php.

227 {
228  return $keywordin;
229 }

◆ HFile_adsp2181()

HFile_adsp2181::HFile_adsp2181 ( )

Definition at line 6 of file HFile_adsp2181.php.

References HFile\HFile().

6  {
7  $this->HFile();
8 /*************************************/
9 // Beautifier Highlighting Configuration File
10 // ADSP2181
11 /*************************************/
12 // Flags
13 
14 $this->nocase = "0";
15 $this->notrim = "0";
16 $this->perl = "0";
17 
18 // Colours
19 
20 $this->colours = array("blue", "purple", "gray", "brown", "blue", "purple", "gray");
21 $this->quotecolour = "blue";
22 $this->blockcommentcolour = "green";
23 $this->linecommentcolour = "green";
24 
25 // Indent Strings
26 
27 $this->indent = array();
28 $this->unindent = array();
29 
30 // String characters and delimiters
31 
32 $this->stringchars = array();
33 $this->delimiters = array("~", "!", "@", "%", "^", "&", "*", "(", ")", "-", "+", "=", "|", "\\", "/", "{", "}", "[", "]", ":", ";", "\"", "'", "<", ">", " ", ",", " ", "?");
34 $this->escchar = "";
35 
36 // Comment settings
37 
38 $this->linecommenton = array("");
39 $this->blockcommenton = array("/*");
40 $this->blockcommentoff = array("*/");
41 
42 // Keywords (keyword mapping to colour number)
43 
44 $this->keywords = array(
45  ".adsp2181" => "1",
46  ".const" => "1",
47  ".endmod" => "1",
48  ".endsys" => "1",
49  ".entry" => "1",
50  ".external" => "1",
51  ".global" => "1",
52  ".include" => "1",
53  ".init" => "1",
54  ".mmap0" => "1",
55  ".module" => "1",
56  ".seg" => "1",
57  ".system" => "1",
58  ".var" => "1",
59  "abs" => "1",
60  "circ" => "1",
61  "code" => "1",
62  "data" => "1",
63  "%" => "2",
64  "^" => "2",
65  "and" => "2",
66  "ashift" => "2",
67  "by" => "2",
68  "call" => "2",
69  "clrbit" => "2",
70  "dis" => "2",
71  "do" => "2",
72  "ena" => "2",
73  "exp" => "2",
74  "expadj" => "2",
75  "if" => "2",
76  "jump" => "2",
77  "lo" => "2",
78  "lshift" => "2",
79  "modify" => "2",
80  "none" => "2",
81  "nop" => "2",
82  "norm" => "2",
83  "of" => "2",
84  "or" => "2",
85  "pass" => "2",
86  "pop" => "2",
87  "push" => "2",
88  "reset" => "2",
89  "rnd" => "2",
90  "rti" => "2",
91  "rts" => "2",
92  "sat" => "2",
93  "sec_regset" => "2",
94  "setbit" => "2",
95  "ss" => "2",
96  "su" => "3",
97  "tglbit" => "2",
98  "toggle" => "2",
99  "toppcstack" => "2",
100  "tstbit" => "2",
101  "until" => "2",
102  "us" => "2",
103  "uu" => "2",
104  "xor" => "2",
105  "af" => "3",
106  "ar" => "3",
107  "astat" => "3",
108  "ax0" => "3",
109  "ax1" => "3",
110  "ay0" => "3",
111  "ay1" => "3",
112  "cntr" => "3",
113  "divq" => "3",
114  "divs" => "3",
115  "i0" => "3",
116  "i1" => "3",
117  "i2" => "3",
118  "i3" => "3",
119  "i4" => "3",
120  "i5" => "3",
121  "i6" => "3",
122  "i7" => "3",
123  "icntl" => "3",
124  "ifc" => "3",
125  "imask" => "3",
126  "l0" => "3",
127  "l1" => "3",
128  "l2" => "3",
129  "l3" => "3",
130  "l4" => "3",
131  "l5" => "3",
132  "l6" => "3",
133  "l7" => "3",
134  "loop" => "3",
135  "m0" => "3",
136  "m1" => "3",
137  "m2" => "3",
138  "m3" => "3",
139  "m4" => "3",
140  "m5" => "3",
141  "m6" => "3",
142  "m7" => "3",
143  "mf" => "3",
144  "mr" => "3",
145  "mr0" => "3",
146  "mr1" => "3",
147  "mr2" => "3",
148  "mstat" => "3",
149  "mx0" => "3",
150  "mx1" => "3",
151  "my0" => "3",
152  "my1" => "3",
153  "pc" => "3",
154  "sb" => "3",
155  "sesi" => "3",
156  "sr" => "3",
157  "sr0" => "3",
158  "sr1" => "3",
159  "sts" => "3",
160  "bm" => "4",
161  "dm" => "4",
162  "im" => "4",
163  "io" => "4",
164  "pm" => "4",
165  "ram" => "4",
166  "rom" => "4",
167  "ac" => "5",
168  "av" => "5",
169  "eq" => "5",
170  "ge" => "5",
171  "gt" => "5",
172  "le" => "5",
173  "lt" => "5",
174  "mv" => "5",
175  "ne" => "5",
176  "neg" => "5",
177  "not" => "5",
178  "pos" => "5",
179  "c" => "6",
180  "ce" => "6",
181  "fl0" => "6",
182  "fl1" => "6",
183  "fl2" => "6",
184  "flag_in" => "6",
185  "flag_out" => "6",
186  "m_mode" => "6",
187  "!" => "7",
188  "$" => "7",
189  "&" => "7",
190  "(" => "7",
191  ")" => "7",
192  "*" => "7",
193  "+" => "7",
194  "," => "7",
195  "-" => "7",
196  "." => "7",
197  "//" => "7",
198  "/" => "7",
199  ":" => "7",
200  ";" => "7",
201  "<" => "7",
202  "=" => "7",
203  ">" => "7",
204  "[" => "7",
205  "]" => "7",
206  "|" => "7");
207 
208 // Special extensions
209 
210 // Each category can specify a PHP function that returns an altered
211 // version of the keyword.
212 
213 
214 
215 $this->linkscripts = array(
216  "1" => "donothing",
217  "2" => "donothing",
218  "3" => "donothing",
219  "4" => "donothing",
220  "5" => "donothing",
221  "6" => "donothing",
222  "7" => "donothing");
223 }
HFile()
Definition: HFile.php:24
+ Here is the call graph for this function:

The documentation for this class was generated from the following file: