ILIAS  release_5-1 Revision 5.0.0-5477-g43f3e3fab5
HFile_masm Class Reference
+ Inheritance diagram for HFile_masm:
+ Collaboration diagram for HFile_masm:

Public Member Functions

 HFile_masm ()
 
 donothing ($keywordin)
 
- Public Member Functions inherited from HFile
 HFile ()
 
 parse_file ($file)
 
 to_perl ($stub, $tofile=1)
 
 to_php ($stub, $tofile=1)
 
 _get_categories ()
 
 _dump_linkscripts ()
 
 _dump_perl_linkscripts ()
 
 _dump_perl_defaultscripts ()
 
 _dump_colours ()
 
 _dump_var ($variable, $name)
 
 _dump_array ($array, $name)
 
 _dump_perl_array ($array, $name)
 
 _dump_hash ($hash, $name)
 
 _dump_perl_hash ($hash, $name)
 

Detailed Description

Definition at line 5 of file HFile_masm.php.

Member Function Documentation

◆ donothing()

HFile_masm::donothing (   $keywordin)

Definition at line 905 of file HFile_masm.php.

906 {
907  return $keywordin;
908 }

◆ HFile_masm()

HFile_masm::HFile_masm ( )

Definition at line 6 of file HFile_masm.php.

References HFile\HFile().

6  {
7  $this->HFile();
8 /*************************************/
9 // Beautifier Highlighting Configuration File
10 // Assembly for MASM
11 /*************************************/
12 // Flags
13 
14 $this->nocase = "1";
15 $this->notrim = "0";
16 $this->perl = "0";
17 
18 // Colours
19 
20 $this->colours = array("blue", "purple", "gray", "brown", "blue", "purple");
21 $this->quotecolour = "blue";
22 $this->blockcommentcolour = "green";
23 $this->linecommentcolour = "green";
24 
25 // Indent Strings
26 
27 $this->indent = array();
28 $this->unindent = array();
29 
30 // String characters and delimiters
31 
32 $this->stringchars = array();
33 $this->delimiters = array("~", "!", "%", "&", "^", "*", "(", ")", "-", "+", "=", "|", "\\", "/", "{", "}", "[", "]", ":", ";", "\"", "'", "<", ">", " ", ",", " ", " ", " ", " ", " ", " ", " ", " ");
34 $this->escchar = "";
35 
36 // Comment settings
37 
38 $this->linecommenton = array(";");
39 $this->blockcommenton = array("");
40 $this->blockcommentoff = array("");
41 
42 // Keywords (keyword mapping to colour number)
43 
44 $this->keywords = array(
45  ".break" => "1",
46  ".breakif" => "1",
47  ".continue" => "1",
48  ".else" => "1",
49  ".elseif" => "1",
50  ".endif" => "1",
51  ".exit" => "1",
52  ".if" => "1",
53  ".repeat" => "1",
54  ".startup" => "1",
55  ".until" => "1",
56  ".untilcxz" => "1",
57  ".while" => "1",
58  "aaa" => "1",
59  "aad" => "1",
60  "aam" => "1",
61  "aas" => "1",
62  "adc" => "1",
63  "add" => "1",
64  "and" => "2",
65  "arpl" => "1",
66  "bound" => "1",
67  "bsf" => "1",
68  "bsr" => "1",
69  "bswap" => "1",
70  "bt" => "1",
71  "btc" => "1",
72  "btr" => "1",
73  "bts" => "1",
74  "call" => "1",
75  "cbw" => "1",
76  "cdq" => "1",
77  "clc" => "1",
78  "cld" => "1",
79  "cli" => "1",
80  "clts" => "1",
81  "cmc" => "1",
82  "cmov" => "1",
83  "cmp" => "1",
84  "cmps" => "1",
85  "cmpsb" => "1",
86  "cmpsd" => "1",
87  "cmpsw" => "1",
88  "cmpxchg" => "1",
89  "cmpxchg8b" => "1",
90  "cpuid" => "1",
91  "cwd" => "1",
92  "cwde" => "1",
93  "daa" => "1",
94  "das" => "1",
95  "dec" => "1",
96  "div" => "1",
97  "enter" => "1",
98  "esc" => "1",
99  "hlt" => "1",
100  "idiv" => "1",
101  "imul" => "1",
102  "in" => "1",
103  "inc" => "1",
104  "ins" => "1",
105  "insb" => "1",
106  "insd" => "1",
107  "insw" => "1",
108  "int" => "1",
109  "into" => "1",
110  "invd" => "1",
111  "invlpg" => "1",
112  "invoke" => "1",
113  "iret" => "1",
114  "iretd" => "1",
115  "ja" => "1",
116  "jae" => "1",
117  "jb" => "1",
118  "jbe" => "1",
119  "jc" => "1",
120  "jcxz" => "1",
121  "je" => "1",
122  "jecxz" => "1",
123  "jg" => "1",
124  "jge" => "1",
125  "jl" => "1",
126  "jle" => "1",
127  "jmp" => "1",
128  "jna" => "1",
129  "jnae" => "1",
130  "jnb" => "1",
131  "jnbe" => "1",
132  "jnc" => "1",
133  "jne" => "1",
134  "jng" => "1",
135  "jnge" => "1",
136  "jnl" => "1",
137  "jnle" => "1",
138  "jno" => "1",
139  "jnp" => "1",
140  "jns" => "1",
141  "jnz" => "1",
142  "jo" => "1",
143  "jp" => "1",
144  "jpe" => "1",
145  "jpo" => "1",
146  "js" => "1",
147  "jz" => "1",
148  "lahf" => "1",
149  "lar" => "1",
150  "lds" => "1",
151  "lea" => "1",
152  "leave" => "1",
153  "les" => "1",
154  "lfs" => "1",
155  "lgdt" => "1",
156  "lgs" => "1",
157  "lidt" => "1",
158  "lldt" => "1",
159  "lmsw" => "1",
160  "lock" => "1",
161  "lods" => "1",
162  "lodsb" => "1",
163  "lodsd" => "1",
164  "lodsw" => "1",
165  "loop" => "1",
166  "loope" => "1",
167  "loopne" => "1",
168  "loopnz" => "1",
169  "loopz" => "1",
170  "lsl" => "1",
171  "lss" => "1",
172  "ltr" => "1",
173  "mov" => "1",
174  "movs" => "1",
175  "movsb" => "1",
176  "movsd" => "1",
177  "movsw" => "1",
178  "movsx" => "1",
179  "movzx" => "1",
180  "mul" => "1",
181  "neg" => "1",
182  "nop" => "1",
183  "not" => "2",
184  "oio" => "1",
185  "or" => "2",
186  "out" => "1",
187  "outs" => "1",
188  "outsb" => "1",
189  "outsd" => "1",
190  "outsw" => "1",
191  "pop" => "1",
192  "popa" => "1",
193  "popad" => "1",
194  "popf" => "1",
195  "popfd" => "1",
196  "push" => "1",
197  "pusha" => "1",
198  "pushad" => "1",
199  "pushf" => "1",
200  "pushfd" => "1",
201  "pushw" => "1",
202  "rcl" => "1",
203  "rcr" => "1",
204  "rdmsr" => "1",
205  "rdtsc" => "1",
206  "rep" => "1",
207  "repe" => "1",
208  "repne" => "1",
209  "repnz" => "1",
210  "repz" => "1",
211  "ret" => "1",
212  "retf" => "1",
213  "retn" => "1",
214  "rol" => "1",
215  "ror" => "1",
216  "rsdc" => "1",
217  "rsldt" => "1",
218  "rsm" => "1",
219  "rsts" => "1",
220  "sahf" => "1",
221  "sal" => "1",
222  "sar" => "1",
223  "sbb" => "1",
224  "scas" => "1",
225  "scasb" => "1",
226  "scasd" => "1",
227  "scasw" => "1",
228  "seta" => "1",
229  "setae" => "1",
230  "setb" => "1",
231  "setbe" => "1",
232  "setc" => "1",
233  "sete" => "1",
234  "setg" => "1",
235  "setge" => "1",
236  "setl" => "1",
237  "setle" => "1",
238  "setna" => "1",
239  "setnae" => "1",
240  "setnb" => "1",
241  "setnc" => "1",
242  "setne" => "1",
243  "setng" => "1",
244  "setnge" => "1",
245  "setnl" => "1",
246  "setnle" => "1",
247  "setno" => "1",
248  "setnp" => "1",
249  "setns" => "1",
250  "setnz" => "1",
251  "seto" => "1",
252  "setp" => "1",
253  "setpe" => "1",
254  "setpo" => "1",
255  "sets" => "1",
256  "setz" => "1",
257  "sgdt" => "1",
258  "shl" => "2",
259  "shld" => "1",
260  "shr" => "2",
261  "shrd" => "1",
262  "sidt" => "1",
263  "sldt" => "1",
264  "smsw" => "1",
265  "stc" => "1",
266  "std" => "1",
267  "sti" => "1",
268  "stos" => "1",
269  "stosb" => "1",
270  "stosd" => "1",
271  "stosw" => "1",
272  "str" => "1",
273  "sub" => "1",
274  "svdc" => "1",
275  "svldt" => "1",
276  "svts" => "1",
277  "test" => "1",
278  "verr" => "1",
279  "verw" => "1",
280  "wait" => "1",
281  "wbinvd" => "1",
282  "wrmsr" => "1",
283  "xadd" => "1",
284  "xchg" => "1",
285  "xlat" => "1",
286  "xlatb" => "1",
287  "xor" => "2",
288  "%cond" => "2",
289  "%out" => "2",
290  ".186" => "2",
291  ".286" => "2",
292  ".286c" => "2",
293  ".286p" => "2",
294  ".287" => "2",
295  ".386" => "2",
296  ".386p" => "2",
297  ".387" => "2",
298  ".486" => "2",
299  ".486c" => "2",
300  ".486p" => "2",
301  ".586" => "2",
302  ".586p" => "2",
303  ".686" => "2",
304  ".686p" => "2",
305  ".8086" => "2",
306  ".8087" => "2",
307  ".alpha" => "2",
308  ".dosseg" => "2",
309  ".code" => "2",
310  ".const" => "2",
311  ".cref" => "2",
312  ".data" => "2",
313  ".data?" => "2",
314  ".err" => "2",
315  ".err1" => "2",
316  ".err2" => "2",
317  ".errb" => "2",
318  ".errdef" => "2",
319  ".errdif" => "2",
320  ".erre" => "2",
321  ".fardata" => "2",
322  ".fardata?" => "2",
323  ".k3d" => "2",
324  ".lall" => "2",
325  ".lfcond" => "2",
326  ".list" => "2",
327  ".mmx" => "2",
328  ".model" => "2",
329  ".msfloat" => "2",
330  ".nolist" => "2",
331  ".nolistmacro" => "2",
332  ".radix" => "2",
333  ".sall" => "2",
334  ".seq" => "2",
335  ".sfcond" => "2",
336  ".stack" => "2",
337  ".type" => "2",
338  ".xall" => "2",
339  ".xcref" => "2",
340  ".xlist" => "2",
341  "@catstr" => "2",
342  "@code" => "2",
343  "@codesize" => "2",
344  "@cpu" => "2",
345  "@curseg" => "2",
346  "@data" => "2",
347  "@data?" => "2",
348  "@datasize" => "2",
349  "@date" => "2",
350  "@environ" => "2",
351  "@fardata" => "2",
352  "@fardata?" => "2",
353  "@filename" => "2",
354  "@instr" => "2",
355  "@interface" => "2",
356  "@model" => "2",
357  "@sizestr" => "2",
358  "@stack" => "2",
359  "@startup" => "2",
360  "@substr" => "2",
361  "@time" => "2",
362  "@version" => "2",
363  "@wordsize" => "2",
364  "addr" => "2",
365  "align" => "2",
366  "arg" => "2",
367  "assume" => "2",
368  "at" => "2",
369  "basic" => "2",
370  "byte" => "2",
371  "c" => "2",
372  "casemap" => "2",
373  "catstr" => "2",
374  "codeptr" => "2",
375  "codeseg" => "2",
376  "comm" => "2",
377  "comment" => "2",
378  "common" => "2",
379  "compact" => "2",
380  "dataptr" => "2",
381  "db" => "2",
382  "dd" => "2",
383  "df" => "2",
384  "dosseg" => "2",
385  "dup" => "2",
386  "dq" => "2",
387  "dt" => "2",
388  "dw" => "2",
389  "dword" => "2",
390  "echo" => "2",
391  "else" => "2",
392  "elseif" => "2",
393  "elseifdef" => "2",
394  "elseifidn" => "2",
395  "elseifidni" => "2",
396  "end" => "2",
397  "endif" => "2",
398  "endm" => "2",
399  "endp" => "2",
400  "ends" => "2",
401  "epilogue" => "2",
402  "epiloguedef" => "2",
403  "eq" => "2",
404  "equ" => "2",
405  "even" => "2",
406  "exitm" => "2",
407  "export" => "2",
408  "expr32" => "2",
409  "extern" => "2",
410  "externdef" => "2",
411  "extrn" => "2",
412  "far" => "2",
413  "far16" => "2",
414  "far32" => "2",
415  "farstack" => "2",
416  "flat" => "2",
417  "for" => "2",
418  "forc" => "2",
419  "fortran" => "2",
420  "fword" => "2",
421  "ge" => "2",
422  "global" => "2",
423  "goto" => "2",
424  "group" => "2",
425  "gt" => "2",
426  "high" => "2",
427  "highword" => "2",
428  "huge" => "2",
429  "ideal" => "2",
430  "if" => "2",
431  "if1" => "2",
432  "if2" => "2",
433  "ifb" => "2",
434  "ifdef" => "2",
435  "ifdif" => "2",
436  "ifdifi" => "2",
437  "ifidn" => "2",
438  "ifidni" => "2",
439  "ife" => "2",
440  "ifnb" => "2",
441  "ifndef" => "2",
442  "include" => "2",
443  "includelib" => "2",
444  "instr" => "2",
445  "integer" => "2",
446  "irp" => "2",
447  "irpc" => "2",
448  "jumps" => "2",
449  "label" => "2",
450  "large" => "2",
451  "le" => "2",
452  "length" => "2",
453  "lengthof" => "2",
454  "listing" => "2",
455  "local" => "2",
456  "locals" => "2",
457  "lroffset" => "2",
458  "low" => "2",
459  "lowword" => "2",
460  "lt" => "2",
461  "macro" => "2",
462  "mask" => "2",
463  "masm" => "2",
464  "masm51" => "2",
465  "medium" => "2",
466  "memory" => "2",
467  "mm2word" => "2",
468  "mmword" => "2",
469  "model" => "2",
470  "multerrs" => "2",
471  "name" => "2",
472  "near" => "2",
473  "near32" => "2",
474  "nle" => "2",
475  "nokeyword" => "2",
476  "nolist" => "2",
477  "nolocals" => "2",
478  "noljmp" => "2",
479  "nomasm51" => "2",
480  "none" => "2",
481  "nonunique" => "2",
482  "noscoped" => "2",
483  "nosmart" => "2",
484  "nothing" => "2",
485  "offset" => "2",
486  "opattr" => "2",
487  "option" => "2",
488  "org" => "2",
489  "page" => "2",
490  "para" => "2",
491  "pascal" => "2",
492  "popcontext" => "2",
493  "private" => "2",
494  "proc" => "2",
495  "prologue" => "2",
496  "prologuedef" => "2",
497  "proto" => "2",
498  "ptr" => "2",
499  "public" => "2",
500  "publicdll" => "2",
501  "purge" => "2",
502  "pushcontext" => "2",
503  "pword" => "2",
504  "quirks" => "2",
505  "qword" => "2",
506  "readonly" => "2",
507  "real4" => "2",
508  "real8" => "2",
509  "real10" => "2",
510  "record" => "2",
511  "rept" => "2",
512  "req" => "2",
513  "sbyte" => "2",
514  "sdword" => "2",
515  "seg" => "2",
516  "segment" => "2",
517  "short" => "2",
518  "size" => "2",
519  "sizeof" => "2",
520  "small" => "2",
521  "smart" => "2",
522  "stack" => "2",
523  "stdcall" => "2",
524  "struc" => "2",
525  "struct" => "2",
526  "substr" => "2",
527  "subtitle" => "2",
528  "subttl" => "2",
529  "sword" => "2",
530  "symtype" => "2",
531  "tbyte" => "2",
532  "textequ" => "2",
533  "this" => "2",
534  "tiny" => "2",
535  "title" => "2",
536  "tword" => "2",
537  "type" => "2",
538  "typedef" => "2",
539  "use16" => "2",
540  "use32" => "2",
541  "uses" => "2",
542  "union" => "2",
543  "vararg" => "2",
544  "width" => "2",
545  "word" => "2",
546  "\\" => "2",
547  "f2xm1" => "3",
548  "fabs" => "3",
549  "fadd" => "3",
550  "faddp" => "3",
551  "fbld" => "3",
552  "fbstp" => "3",
553  "fchs" => "3",
554  "fclex" => "3",
555  "fcmov" => "3",
556  "fcom" => "3",
557  "fcomp" => "3",
558  "fcompp" => "3",
559  "fcos" => "3",
560  "fdecstp" => "3",
561  "fdiv" => "3",
562  "fdivp" => "3",
563  "fdivr" => "3",
564  "fdivrp" => "3",
565  "ffree" => "3",
566  "fiadd" => "3",
567  "ficom" => "3",
568  "ficomp" => "3",
569  "fidiv" => "3",
570  "fidivr" => "3",
571  "fild" => "3",
572  "fimul" => "3",
573  "fincstp" => "3",
574  "finit" => "3",
575  "fist" => "3",
576  "fistp" => "3",
577  "fisub" => "3",
578  "fisubr" => "3",
579  "fld" => "3",
580  "fld1" => "3",
581  "fldcw" => "3",
582  "fldenv" => "3",
583  "fldl2e" => "3",
584  "fldl2t" => "3",
585  "fldlg2" => "3",
586  "fldln2" => "3",
587  "fldpi" => "3",
588  "fldz" => "3",
589  "fly2x" => "3",
590  "fly2xp1" => "3",
591  "fmul" => "3",
592  "fmulp" => "3",
593  "fnclex" => "3",
594  "fninit" => "3",
595  "fnop" => "3",
596  "fnsave" => "3",
597  "fnstcw" => "3",
598  "fnstenv" => "3",
599  "fnstsw" => "3",
600  "fpatan" => "3",
601  "fprem" => "3",
602  "fprem1" => "3",
603  "fptan" => "3",
604  "fqrt" => "3",
605  "frndint" => "3",
606  "frstor" => "3",
607  "fsave" => "3",
608  "fscale" => "3",
609  "fsin" => "3",
610  "fsincos" => "3",
611  "fst" => "3",
612  "fstcw" => "3",
613  "fstenv" => "3",
614  "fstp" => "3",
615  "fstsw" => "3",
616  "fsub" => "3",
617  "fsubp" => "3",
618  "fsubr" => "3",
619  "fsubrb" => "3",
620  "ftst" => "3",
621  "fucom" => "3",
622  "fucomp" => "3",
623  "fucompp" => "3",
624  "fwait" => "3",
625  "fxam" => "3",
626  "fxch" => "3",
627  "fxtract" => "3",
628  "!" => "4",
629  "%" => "4",
630  "&" => "4",
631  "*" => "4",
632  "+" => "4",
633  "," => "4",
634  "-" => "4",
635  "//" => "4",
636  "/" => "4",
637  ">" => "4",
638  "=" => "4",
639  "<" => "4",
640  "|" => "4",
641  "$" => "5",
642  "?" => "5",
643  "@@" => "5",
644  "@b" => "5",
645  "@f" => "5",
646  "ah" => "5",
647  "al" => "5",
648  "ax" => "5",
649  "bh" => "5",
650  "bl" => "5",
651  "bp" => "5",
652  "bx" => "5",
653  "carry?" => "5",
654  "ch" => "5",
655  "cl" => "5",
656  "cr0" => "5",
657  "cr2" => "5",
658  "cr3" => "5",
659  "cr4" => "5",
660  "cs" => "5",
661  "cx" => "5",
662  "dh" => "5",
663  "di" => "5",
664  "dl" => "5",
665  "dr0" => "5",
666  "dr1" => "5",
667  "dr2" => "5",
668  "dr3" => "5",
669  "dr4" => "5",
670  "dr5" => "5",
671  "dr6" => "5",
672  "dr7" => "5",
673  "ds" => "5",
674  "dx" => "5",
675  "eax" => "5",
676  "ebx" => "5",
677  "ebp" => "5",
678  "ecx" => "5",
679  "edi" => "5",
680  "edx" => "5",
681  "es" => "5",
682  "esi" => "5",
683  "esp" => "5",
684  "ext0" => "5",
685  "ext1" => "5",
686  "ext2" => "5",
687  "ext3" => "5",
688  "ext4" => "5",
689  "ext5" => "5",
690  "ext6" => "5",
691  "ext7" => "5",
692  "extb0" => "5",
693  "extb1" => "5",
694  "extb2" => "5",
695  "extb3" => "5",
696  "fs" => "5",
697  "gs" => "5",
698  "mm" => "5",
699  "mm0" => "5",
700  "mm1" => "5",
701  "mm2" => "5",
702  "mm3" => "5",
703  "mm4" => "5",
704  "mm5" => "5",
705  "mm6" => "5",
706  "mm7" => "5",
707  "overflow?" => "5",
708  "parity?" => "5",
709  "si" => "5",
710  "sign?" => "5",
711  "sp" => "5",
712  "ss" => "5",
713  "tr3" => "5",
714  "tr4" => "5",
715  "tr5" => "5",
716  "tr6" => "5",
717  "tr7" => "5",
718  "xmm" => "5",
719  "xmm0" => "5",
720  "xmm1" => "5",
721  "xmm2" => "5",
722  "xmm3" => "5",
723  "xmm4" => "5",
724  "xmm5" => "5",
725  "xmm6" => "5",
726  "xmm7" => "5",
727  "zero?" => "5",
728  "addps" => "6",
729  "addss" => "6",
730  "andnps" => "6",
731  "andps" => "6",
732  "cmpeqps" => "6",
733  "cmpeqss" => "6",
734  "cmpleps" => "6",
735  "cmpless" => "6",
736  "cmpltps" => "6",
737  "cmpltss" => "6",
738  "cmpneqps" => "6",
739  "cmpneqss" => "6",
740  "cmpnleps" => "6",
741  "cmpnless" => "6",
742  "cmpnltps" => "6",
743  "cmpnltss" => "6",
744  "cmpordps" => "6",
745  "cmpordss" => "6",
746  "cmpps" => "6",
747  "cmpss" => "6",
748  "cmpunordps" => "6",
749  "cmpunordss" => "6",
750  "comiss" => "6",
751  "cvtpi2ps" => "6",
752  "cvtps2pi" => "6",
753  "cvtsi2ss" => "6",
754  "cvttps2pi" => "6",
755  "cvttss2si" => "6",
756  "cvtss2si" => "6",
757  "divps" => "6",
758  "divss" => "6",
759  "emms" => "6",
760  "femms" => "6",
761  "fxrstor" => "6",
762  "fxsave" => "6",
763  "ldmxcsr" => "6",
764  "maskmovq" => "6",
765  "maxps" => "6",
766  "maxss" => "6",
767  "minps" => "6",
768  "minss" => "6",
769  "movaps" => "6",
770  "movd" => "6",
771  "movdf" => "6",
772  "movdt" => "6",
773  "movhps" => "6",
774  "movhlps" => "6",
775  "movlhps" => "6",
776  "movlps" => "6",
777  "movmskps" => "6",
778  "movntps" => "6",
779  "movntq" => "6",
780  "movq" => "6",
781  "movss" => "6",
782  "movups" => "6",
783  "mulps" => "6",
784  "mulss" => "6",
785  "orps" => "6",
786  "packssdw" => "6",
787  "packsswb" => "6",
788  "packuswb" => "6",
789  "paddb" => "6",
790  "paddd" => "6",
791  "paddsb" => "6",
792  "paddsw" => "6",
793  "paddusb" => "6",
794  "paddusw" => "6",
795  "paddw" => "6",
796  "pand" => "6",
797  "pandn" => "6",
798  "pavgb" => "6",
799  "pavgusb" => "6",
800  "pavgw" => "6",
801  "pcmpeqb" => "6",
802  "pcmpeqd" => "6",
803  "pcmpeqw" => "6",
804  "pcmpgtb" => "6",
805  "pcmpgtd" => "6",
806  "pcmpgtw" => "6",
807  "pextrw" => "6",
808  "pf2id" => "6",
809  "pfacc" => "6",
810  "pfadd" => "6",
811  "pfcmpeq" => "6",
812  "pfcmpge" => "6",
813  "pfcmpgt" => "6",
814  "pfmax" => "6",
815  "pfmin" => "6",
816  "pfmul" => "6",
817  "pfrcp" => "6",
818  "pfrcpit1" => "6",
819  "pfrcpit2" => "6",
820  "pfsqit1" => "6",
821  "pfrsqrt" => "6",
822  "pfsub" => "6",
823  "pfsubr" => "6",
824  "pi2fd" => "6",
825  "pinsrw" => "6",
826  "pmaddwd" => "6",
827  "pmaxsw" => "6",
828  "pmaxub" => "6",
829  "pminsw" => "6",
830  "pminub" => "6",
831  "pmovmskb" => "6",
832  "pmulhrw" => "6",
833  "pmulhuw" => "6",
834  "pmulhw" => "6",
835  "pmullw" => "6",
836  "por" => "6",
837  "prefetch" => "6",
838  "prefetchw" => "6",
839  "prefetchnta" => "6",
840  "prefetcht0" => "6",
841  "prefetcht1" => "6",
842  "prefetcht2" => "6",
843  "psadbw" => "6",
844  "pslld" => "6",
845  "psllq" => "6",
846  "psllw" => "6",
847  "psrad" => "6",
848  "psraw" => "6",
849  "psrld" => "6",
850  "psrlq" => "6",
851  "psrlw" => "6",
852  "psubb" => "6",
853  "psubd" => "6",
854  "psubsb" => "6",
855  "psubsw" => "6",
856  "psubusb" => "6",
857  "psubusw" => "6",
858  "psubw" => "6",
859  "punpckhbw" => "6",
860  "punpckhdq" => "6",
861  "punpckhwd" => "6",
862  "punpcklbw" => "6",
863  "punpckldq" => "6",
864  "punpcklwd" => "6",
865  "pxor" => "6",
866  "HFileufw" => "6",
867  "rcpps" => "6",
868  "rcpss" => "6",
869  "rdpmc" => "6",
870  "rsqrtps" => "6",
871  "rsqrtss" => "6",
872  "sfence" => "6",
873  "shufps" => "6",
874  "sqrtps" => "6",
875  "sqrtss" => "6",
876  "stmxcsr" => "6",
877  "subps" => "6",
878  "subss" => "6",
879  "syscall" => "6",
880  "sysret" => "6",
881  "ucomiss" => "6",
882  "unpckhps" => "6",
883  "unpckps" => "6",
884  "unpcklps" => "6",
885  "xmmword" => "6",
886  "xorps" => "6");
887 
888 // Special extensions
889 
890 // Each category can specify a PHP function that returns an altered
891 // version of the keyword.
892 
893 
894 
895 $this->linkscripts = array(
896  "1" => "donothing",
897  "2" => "donothing",
898  "3" => "donothing",
899  "4" => "donothing",
900  "5" => "donothing",
901  "6" => "donothing");
902 }
HFile()
Definition: HFile.php:24
+ Here is the call graph for this function:

The documentation for this class was generated from the following file: