ILIAS  release_5-2 Revision v5.2.25-18-g3f80b82851
HFile_epld Class Reference
+ Inheritance diagram for HFile_epld:
+ Collaboration diagram for HFile_epld:

Public Member Functions

 HFile_epld ()
 
 donothing ($keywordin)
 
- Public Member Functions inherited from HFile
 __construct ()
 
 parse_file ($file)
 
 to_perl ($stub, $tofile=1)
 
 to_php ($stub, $tofile=1)
 
 _get_categories ()
 
 _dump_linkscripts ()
 
 _dump_perl_linkscripts ()
 
 _dump_perl_defaultscripts ()
 
 _dump_colours ()
 
 _dump_var ($variable, $name)
 
 _dump_array ($array, $name)
 
 _dump_perl_array ($array, $name)
 
 _dump_hash ($hash, $name)
 
 _dump_perl_hash ($hash, $name)
 

Detailed Description

Definition at line 5 of file HFile_epld.php.

Member Function Documentation

◆ donothing()

HFile_epld::donothing (   $keywordin)

Definition at line 178 of file HFile_epld.php.

179 {
180  return $keywordin;
181 }

◆ HFile_epld()

HFile_epld::HFile_epld ( )

Definition at line 6 of file HFile_epld.php.

References array.

6  {
7  $this->HFile();
8 /*************************************/
9 // Beautifier Highlighting Configuration File
10 // EPLD
11 /*************************************/
12 // Flags
13 
14 $this->nocase = "0";
15 $this->notrim = "0";
16 $this->perl = "0";
17 
18 // Colours
19 
20 $this->colours = array("blue", "purple", "gray");
21 $this->quotecolour = "blue";
22 $this->blockcommentcolour = "green";
23 $this->linecommentcolour = "green";
24 
25 // Indent Strings
26 
27 $this->indent = array();
28 $this->unindent = array();
29 
30 // String characters and delimiters
31 
32 $this->stringchars = array();
33 $this->delimiters = array("!", "%", "^", "&", "*", "(", ")", "+", "=", "|", "\\", "/", "{", "}", "[", "]", ":", ";", "\"", "'", "<", ">", " ", ",", " ", "?");
34 $this->escchar = "";
35 
36 // Comment settings
37 
38 $this->linecommenton = array("\"");
39 $this->blockcommenton = array("");
40 $this->blockcommentoff = array("");
41 
42 // Keywords (keyword mapping to colour number)
43 
44 $this->keywords = array(
45  "ASYNC_RESET" => "1",
46  "Buffer" => "1",
47  "Collapse" => "1",
48  "Case" => "1",
49  "Com" => "1",
50  "DECLARATIONS" => "1",
51  "Dc" => "1",
52  "Declarations" => "1",
53  "Device" => "1",
54  "EQUATIONS" => "1",
55  "End" => "1",
56  "Equations" => "1",
57  "Else" => "1",
58  "Equations." => "1",
59  "FUNCTIONAL_BLOCK" => "1",
60  "FUSES" => "1",
61  "Functional_block" => "1",
62  "Fuses" => "1",
63  "Goto" => "1",
64  "INTERFACE" => "1",
65  "If-Then-Else" => "1",
66  "In" => "1",
67  "Interface" => "1",
68  "Istype" => "1",
69  "If" => "1",
70  "Invert" => "1",
71  "Keep" => "1",
72  "Library" => "1",
73  "MACRO" => "1",
74  "Macro" => "1",
75  "Module" => "1",
76  "Node" => "1",
77  "Neg" => "1",
78  "Output" => "1",
79  "Pin" => "1",
80  "Property" => "1",
81  "PIN" => "1",
82  "Pos" => "1",
83  "Reg" => "1",
84  "Reg_D" => "1",
85  "Reg_G" => "1",
86  "Reg_JK" => "1",
87  "Reg_SR" => "1",
88  "Reg_T" => "1",
89  "Retain" => "1",
90  "STATE_DIAGRAM" => "1",
91  "STATE_REGISTER" => "1",
92  "SYNC_RESET" => "1",
93  "State" => "1",
94  "State_Diagram" => "1",
95  "State_register" => "1",
96  "Sync_reset" => "1",
97  "Signal" => "1",
98  "TEST_VECTORS" => "1",
99  "TITLE" => "1",
100  "TRUTH_TABLE" => "1",
101  "Test_Vectors" => "1",
102  "Title" => "1",
103  "Trace" => "1",
104  "Truth_Table" => "1",
105  "Test_vectors" => "1",
106  "Then" => "1",
107  "When-Then-Else" => "1",
108  "With" => "1",
109  "XOR" => "1",
110  "XOR_FACTORS" => "1",
111  "XOR_Factors" => "1",
112  "Xor" => "1",
113  "@Alternate" => "2",
114  "@Carry" => "2",
115  "@Const" => "2",
116  "@Dcset" => "2",
117  "@Dcstate" => "2",
118  "@Exit" => "2",
119  "@Expr" => "2",
120  "@If" => "2",
121  "@Ifb" => "2",
122  "@Ifdef" => "2",
123  "@Ifiden" => "2",
124  "@Ifnb" => "2",
125  "@Ifndef" => "2",
126  "@Ifniden" => "2",
127  "@Include" => "2",
128  "@Irp" => "2",
129  "@Irpc" => "2",
130  "@Message" => "2",
131  "@Onset" => "2",
132  "@Page" => "2",
133  "@Radix" => "2",
134  "@Repeat" => "2",
135  "@Setsize" => "2",
136  "@Standard" => "2",
137  ".ACLR" => "3",
138  ".ASET" => "3",
139  ".AP" => "3",
140  ".AR" => "3",
141  ".CE" => "3",
142  ".CLK" => "3",
143  ".CLR" => "3",
144  ".SET" => "3",
145  ".COM" => "3",
146  ".D" => "3",
147  ".FB" => "3",
148  ".FC" => "3",
149  ".J" => "3",
150  ".K" => "3",
151  ".LD" => "3",
152  ".LE" => "3",
153  ".LH" => "3",
154  ".OE" => "3",
155  ".PIN" => "3",
156  ".PR" => "3",
157  ".Q" => "3",
158  ".R" => "3",
159  ".RE" => "3",
160  ".S" => "3",
161  ".SP" => "3",
162  ".SR" => "3");
163 
164 // Special extensions
165 
166 // Each category can specify a PHP function that returns an altered
167 // version of the keyword.
168 
169 
170 
171 $this->linkscripts = array(
172  "1" => "donothing",
173  "2" => "donothing",
174  "3" => "donothing");
175 }
Create styles array
The data for the language used.
Definition: HFile.php:21

The documentation for this class was generated from the following file: