ILIAS  release_5-2 Revision v5.2.25-18-g3f80b82851
HFile_flisp Class Reference
+ Inheritance diagram for HFile_flisp:
+ Collaboration diagram for HFile_flisp:

Public Member Functions

 HFile_flisp ()
 
 donothing ($keywordin)
 
- Public Member Functions inherited from HFile
 __construct ()
 
 parse_file ($file)
 
 to_perl ($stub, $tofile=1)
 
 to_php ($stub, $tofile=1)
 
 _get_categories ()
 
 _dump_linkscripts ()
 
 _dump_perl_linkscripts ()
 
 _dump_perl_defaultscripts ()
 
 _dump_colours ()
 
 _dump_var ($variable, $name)
 
 _dump_array ($array, $name)
 
 _dump_perl_array ($array, $name)
 
 _dump_hash ($hash, $name)
 
 _dump_perl_hash ($hash, $name)
 

Detailed Description

Definition at line 5 of file HFile_flisp.php.

Member Function Documentation

◆ donothing()

HFile_flisp::donothing (   $keywordin)

Definition at line 350 of file HFile_flisp.php.

351 {
352  return $keywordin;
353 }

◆ HFile_flisp()

HFile_flisp::HFile_flisp ( )

Definition at line 6 of file HFile_flisp.php.

References array.

6  {
7  $this->HFile();
8 /*************************************/
9 // Beautifier Highlighting Configuration File
10 // FLISP
11 /*************************************/
12 // Flags
13 
14 $this->nocase = "1";
15 $this->notrim = "0";
16 $this->perl = "0";
17 
18 // Colours
19 
20 $this->colours = array("blue", "purple", "gray", "brown", "blue");
21 $this->quotecolour = "blue";
22 $this->blockcommentcolour = "green";
23 $this->linecommentcolour = "green";
24 
25 // Indent Strings
26 
27 $this->indent = array();
28 $this->unindent = array();
29 
30 // String characters and delimiters
31 
32 $this->stringchars = array();
33 $this->delimiters = array("~", "!", "$", "%", "^", "&", "*", "(", ")", "-", "+", "=", "|", "\\", "/", "{", "}", "[", "]", ":", ";", "\"", "'", "<", ">", " ", ",", ".", "?", "/");
34 $this->escchar = "";
35 
36 // Comment settings
37 
38 $this->linecommenton = array(":");
39 $this->blockcommenton = array(";|");
40 $this->blockcommentoff = array("|;");
41 
42 // Keywords (keyword mapping to colour number)
43 
44 $this->keywords = array(
45  "boxed_column" => "1",
46  "boxed_radio_column" => "1",
47  "boxed_radio_row" => "1",
48  "boxed_row" => "1",
49  "button" => "1",
50  "column" => "1",
51  "concatenation" => "1",
52  "dialog" => "1",
53  "edit_box" => "1",
54  "errtile" => "1",
55  "image" => "1",
56  "image_button" => "1",
57  "list_box" => "1",
58  "ok_only" => "1",
59  "ok_cancel" => "1",
60  "ok_cancel_help" => "1",
61  "ok_cancel_help_errtile" => "1",
62  "ok_cancel_help_info" => "1",
63  "paragraph" => "1",
64  "popup_list" => "1",
65  "radio_button" => "1",
66  "radio_column" => "1",
67  "radio_row" => "1",
68  "row" => "1",
69  "slider" => "1",
70  "spacer" => "1",
71  "spacer_0" => "1",
72  "spacer_1" => "1",
73  "text" => "1",
74  "text_part" => "1",
75  "toggle" => "1",
76  "@include" => "2",
77  "action" => "3",
78  "alignment" => "3",
79  "allow_accept" => "3",
80  "aspect_ratio" => "3",
81  "big_increment" => "3",
82  "children_alignment" => "3",
83  "children_fixed_height" => "3",
84  "children_fixed_width" => "3",
85  "color" => "3",
86  "edit_limit" => "3",
87  "edit_width" => "3",
88  "fixed_height" => "3",
89  "fixed_width" => "3",
90  "height" => "3",
91  "initial_focus" => "3",
92  "is_bold" => "3",
93  "is_cancel" => "3",
94  "is_default" => "3",
95  "is_enabled" => "3",
96  "is_tab_stop" => "3",
97  "key" => "3",
98  "label" => "3",
99  "layout" => "3",
100  "list" => "3",
101  "max_value" => "3",
102  "min_value" => "3",
103  "mnemonic" => "3",
104  "multiple_select" => "3",
105  "small_increment" => "3",
106  "tabs" => "3",
107  "value" => "3",
108  "width" => "3",
109  "abs" => "4",
110  "acad_colordlg" => "4",
111  "acad_helpdlg" => "4",
112  "acad_strlsort" => "4",
113  "action_tile" => "4",
114  "add_list" => "4",
115  "ads" => "4",
116  "alert" => "4",
117  "alloc" => "4",
118  "and" => "4",
119  "angle" => "4",
120  "angtof" => "4",
121  "angtos" => "4",
122  "append" => "4",
123  "apply" => "4",
124  "arx" => "4",
125  "arxload" => "4",
126  "arxunload" => "4",
127  "ascii" => "4",
128  "assoc" => "4",
129  "atan" => "4",
130  "atof" => "4",
131  "atoi" => "4",
132  "atom" => "4",
133  "atoms_family" => "4",
134  "autoarxload" => "4",
135  "autoload" => "4",
136  "autoxload" => "4",
137  "boole" => "4",
138  "boundp" => "4",
139  "car" => "4",
140  "cdr" => "4",
141  "caar" => "4",
142  "cadr" => "4",
143  "cddr" => "4",
144  "caaar" => "4",
145  "caadr" => "4",
146  "cadar" => "4",
147  "caddr" => "4",
148  "cdaar" => "4",
149  "cdadr" => "4",
150  "cddar" => "4",
151  "cdddr" => "4",
152  "caaadr" => "4",
153  "caadar" => "4",
154  "cadaar" => "4",
155  "cdaaar" => "4",
156  "caaddr" => "4",
157  "caddar" => "4",
158  "cddaar" => "4",
159  "cadadr" => "4",
160  "caaaar" => "4",
161  "cdadar" => "4",
162  "cdaadr" => "4",
163  "cadddr" => "4",
164  "cdaddr" => "4",
165  "cddadr" => "4",
166  "cdddar" => "4",
167  "cddddr" => "4",
168  "chr" => "4",
169  "client_data_tile" => "4",
170  "close" => "4",
171  "command" => "4",
172  "cond" => "4",
173  "cons" => "4",
174  "cos" => "4",
175  "cvunit" => "4",
176  "dictnext" => "4",
177  "dictsearch" => "4",
178  "dimx_tile" => "4",
179  "dimy_tile" => "4",
180  "distance" => "4",
181  "distof" => "4",
182  "done_dialog" => "4",
183  "end_image" => "4",
184  "end_list" => "4",
185  "entdel" => "4",
186  "entget" => "4",
187  "entlast" => "4",
188  "entmake" => "4",
189  "entmod" => "4",
190  "entnext" => "4",
191  "entsel" => "4",
192  "entupd" => "4",
193  "eq" => "4",
194  "equal" => "4",
195  "eval" => "4",
196  "exit" => "4",
197  "exp" => "4",
198  "expand" => "4",
199  "expt" => "4",
200  "fill_image" => "4",
201  "findfile" => "4",
202  "fix" => "4",
203  "float" => "4",
204  "foreach" => "4",
205  "gc" => "4",
206  "gcd" => "4",
207  "get_attr" => "4",
208  "get_tile" => "4",
209  "getangle" => "4",
210  "getcfg" => "4",
211  "getcorner" => "4",
212  "getdist" => "4",
213  "getenv" => "4",
214  "getfiled" => "4",
215  "getint" => "4",
216  "getkword" => "4",
217  "getorient" => "4",
218  "getpoint" => "4",
219  "getreal" => "4",
220  "getstring" => "4",
221  "getvar" => "4",
222  "graphscr" => "4",
223  "grclear" => "4",
224  "grdraw" => "4",
225  "grread" => "4",
226  "grtext" => "4",
227  "grvecs" => "4",
228  "handent" => "4",
229  "help" => "4",
230  "if" => "4",
231  "initget" => "4",
232  "inters" => "4",
233  "itoa" => "4",
234  "lambda" => "4",
235  "last" => "4",
236  "length" => "4",
237  "listp" => "4",
238  "load_dialog" => "4",
239  "load" => "4",
240  "log" => "4",
241  "logand" => "4",
242  "logior" => "4",
243  "lsh" => "4",
244  "mapcar" => "4",
245  "max" => "4",
246  "mem" => "4",
247  "member" => "4",
248  "menucmd" => "4",
249  "min" => "4",
250  "minusp" => "4",
251  "mode_tile" => "4",
252  "namedobjdict" => "4",
253  "nentsel" => "4",
254  "nentselp" => "4",
255  "new_dialog" => "4",
256  "not" => "4",
257  "nth" => "4",
258  "null" => "4",
259  "numberp" => "4",
260  "open" => "4",
261  "or" => "4",
262  "osnap" => "4",
263  "polar" => "4",
264  "prin1" => "4",
265  "princ" => "4",
266  "print" => "4",
267  "progn" => "4",
268  "prompt" => "4",
269  "quit" => "4",
270  "quote" => "4",
271  "read" => "4",
272  "read_char" => "4",
273  "read_line" => "4",
274  "redraw" => "4",
275  "regapp" => "4",
276  "rem" => "4",
277  "repeat" => "4",
278  "reverse" => "4",
279  "rtos" => "4",
280  "set" => "4",
281  "set_tile" => "4",
282  "setcfg" => "4",
283  "setfunhelp" => "4",
284  "setq" => "4",
285  "setvar" => "4",
286  "sin" => "4",
287  "slide_image" => "4",
288  "snvalid" => "4",
289  "sqrt" => "4",
290  "ssadd" => "4",
291  "ssdel" => "4",
292  "ssget" => "4",
293  "sslength" => "4",
294  "ssmemb" => "4",
295  "ssname" => "4",
296  "startapp" => "4",
297  "start_dialog" => "4",
298  "start_image" => "4",
299  "start_list" => "4",
300  "strcase" => "4",
301  "strcat" => "4",
302  "strlen" => "4",
303  "subst" => "4",
304  "substr" => "4",
305  "tablet" => "4",
306  "tblnext" => "4",
307  "tblobjname" => "4",
308  "tblsearch" => "4",
309  "term_dialog" => "4",
310  "terpri" => "4",
311  "textbox" => "4",
312  "textpage" => "4",
313  "textscr" => "4",
314  "trace" => "4",
315  "trans" => "4",
316  "type" => "4",
317  "unload_dialog" => "4",
318  "untrace" => "4",
319  "vector_image" => "4",
320  "ver" => "4",
321  "vmon" => "4",
322  "vports" => "4",
323  "wcmatch" => "4",
324  "while" => "4",
325  "write_char" => "4",
326  "write_line" => "4",
327  "xdroom" => "4",
328  "xdsize" => "4",
329  "xload" => "4",
330  "xunload" => "4",
331  "zerop" => "4",
332  "defun" => "5");
333 
334 // Special extensions
335 
336 // Each category can specify a PHP function that returns an altered
337 // version of the keyword.
338 
339 
340 
341 $this->linkscripts = array(
342  "1" => "donothing",
343  "2" => "donothing",
344  "3" => "donothing",
345  "4" => "donothing",
346  "5" => "donothing");
347 }
Create styles array
The data for the language used.
Definition: HFile.php:21

The documentation for this class was generated from the following file: