00001 <?php
00002 $BEAUT_PATH = realpath(".")."/syntax_highlight/php";
00003 if (!isset ($BEAUT_PATH)) return;
00004 require_once("$BEAUT_PATH/Beautifier/HFile.php");
00005 class HFile_ahdl extends HFile{
00006 function HFile_ahdl(){
00007 $this->HFile();
00008
00009
00010
00011
00012
00013
00014 $this->nocase = "1";
00015 $this->notrim = "0";
00016 $this->perl = "0";
00017
00018
00019
00020 $this->colours = array("blue", "purple", "gray");
00021 $this->quotecolour = "blue";
00022 $this->blockcommentcolour = "green";
00023 $this->linecommentcolour = "green";
00024
00025
00026
00027 $this->indent = array("BEGIN");
00028 $this->unindent = array("END");
00029
00030
00031
00032 $this->stringchars = array();
00033 $this->delimiters = array("{", "}", "[", "]", ":", ";", "\"", "'", "<", ">", " ", ",", ".", "?", "(", ")", " ", "~", "!", "@", "$", "^", "&", "*", "+", "=", "|", "\\", "/");
00034 $this->escchar = "";
00035
00036
00037
00038 $this->linecommenton = array("-- ");
00039 $this->blockcommenton = array("%");
00040 $this->blockcommentoff = array("%");
00041
00042
00043
00044 $this->keywords = array(
00045 "and" => "1",
00046 "assert" => "1",
00047 "begin" => "1",
00048 "bidir" => "1",
00049 "bits" => "1",
00050 "buried" => "1",
00051 "case" => "1",
00052 "ceil" => "1",
00053 "clique" => "1",
00054 "connected_pins" => "1",
00055 "constant" => "1",
00056 "defaults" => "1",
00057 "define" => "1",
00058 "design" => "1",
00059 "device" => "1",
00060 "div" => "1",
00061 "else" => "1",
00062 "elsif" => "1",
00063 "end" => "1",
00064 "for" => "1",
00065 "function" => "1",
00066 "generate" => "1",
00067 "gnd" => "1",
00068 "help_id" => "1",
00069 "if" => "1",
00070 "include" => "1",
00071 "input" => "1",
00072 "is" => "1",
00073 "log2" => "1",
00074 "machine" => "1",
00075 "mod" => "1",
00076 "nand" => "1",
00077 "node" => "1",
00078 "nor" => "1",
00079 "not" => "1",
00080 "of" => "1",
00081 "options" => "1",
00082 "or" => "1",
00083 "others" => "1",
00084 "output" => "1",
00085 "parameters" => "1",
00086 "report" => "1",
00087 "returns" => "1",
00088 "segments" => "1",
00089 "severity" => "1",
00090 "states" => "1",
00091 "subdesign" => "1",
00092 "table" => "1",
00093 "then" => "1",
00094 "title" => "1",
00095 "to" => "1",
00096 "tri_state_node" => "1",
00097 "variable" => "1",
00098 "vcc" => "1",
00099 "when" => "1",
00100 "with" => "1",
00101 "xnor" => "1",
00102 "xor" => "1",
00103 "carry" => "2",
00104 "cascade" => "2",
00105 "dff" => "2",
00106 "dffe" => "2",
00107 "exp" => "2",
00108 "floor" => "2",
00109 "global" => "2",
00110 "jkff" => "2",
00111 "jkffe" => "2",
00112 "latch" => "2",
00113 "lcell" => "2",
00114 "mcell" => "2",
00115 "memory" => "2",
00116 "opendrn" => "2",
00117 "soft" => "2",
00118 "srff" => "2",
00119 "srffe" => "2",
00120 "tff" => "2",
00121 "tffe" => "2",
00122 "tri" => "2",
00123 "used" => "2",
00124 "wire" => "2",
00125 "altdpram" => "3",
00126 "busmux" => "3",
00127 "csdpram" => "3",
00128 "csfifo" => "3",
00129 "dcfifo" => "3",
00130 "divide" => "3",
00131 "lpm_abs" => "3",
00132 "lpm_add_sub" => "3",
00133 "lpm_and" => "3",
00134 "lpm_bustri" => "3",
00135 "lpm_clshift" => "3",
00136 "lpm_compare" => "3",
00137 "lpm_constant" => "3",
00138 "lpm_counter" => "3",
00139 "lpm_decode" => "3",
00140 "lpm_dff" => "3",
00141 "lpm_divide" => "3",
00142 "lpm_ff" => "3",
00143 "lpm_fifo" => "3",
00144 "lpm_fifo_dc" => "3",
00145 "lpm_inv" => "3",
00146 "lpm_latch" => "3",
00147 "lpm_mult" => "3",
00148 "lpm_mux" => "3",
00149 "lpm_or" => "3",
00150 "lpm_ram_dp" => "3",
00151 "lpm_ram_dq" => "3",
00152 "lpm_ram_io" => "3",
00153 "lpm_rom" => "3",
00154 "lpm_shiftreg" => "3",
00155 "lpm_tff" => "3",
00156 "lpm_xor" => "3",
00157 "mux" => "3",
00158 "ntsc" => "3",
00159 "scfifo" => "3");
00160
00161
00162
00163
00164
00165
00166
00167
00168 $this->linkscripts = array(
00169 "1" => "donothing",
00170 "2" => "donothing",
00171 "3" => "donothing");
00172 }
00173
00174
00175 function donothing($keywordin)
00176 {
00177 return $keywordin;
00178 }
00179
00180 }?>