62 'LANG_NAME' =>
'SystemVerilog',
63 'COMMENT_SINGLE' => array(1 =>
'//'),
64 'COMMENT_MULTI' => array(
'/*' =>
'*/'),
65 'COMMENT_REGEXP' => array(1 =>
'/\/\/(?:\\\\\\\\|\\\\\\n|.)*$/m'),
67 'QUOTEMARKS' => array(
'"'),
68 'ESCAPE_CHAR' =>
'\\',
72 'acos',
'acosh',
'asin',
'asinh',
'assertfailoff',
'assertfailon',
73 'assertkill',
'assertnonvacuouson',
'assertoff',
'asserton',
74 'assertpassoff',
'assertpasson',
'assertvacuousoff',
'async$and$array',
75 'async$and$plane',
'async$nand$array',
'async$nand$plane',
76 'async$nor$array',
'async$nor$plane',
'async$or$array',
77 'async$or$plane',
'atan',
'atan2',
'atanh',
'bits',
'bitstoreal',
78 'bitstoshortreal',
'cast',
'ceil',
'changed',
'changed_gclk',
79 'changing_gclk',
'clog2',
'cos',
'cosh',
'countones',
'coverage_control',
80 'coverage_get',
'coverage_get_max',
'coverage_merge',
'coverage_save',
81 'dimensions',
'display',
'displayb',
'displayh',
'displayo',
82 'dist_chi_square',
'dist_erlang',
'dist_exponential',
'dist_normal',
83 'dist_poisson',
'dist_t',
'dist_uniform',
'dumpall',
'dumpfile',
84 'dumpflush',
'dumplimit',
'dumpoff',
'dumpon',
'dumpports',
85 'dumpportsall',
'dumpportsflush',
'dumpportslimit',
'dumpportsoff',
86 'dumpportson',
'dumpvars',
'error',
'exit',
'exp',
'falling_gclk',
87 'fclose',
'fdisplay',
'fdisplayb',
'fdisplayh',
'fdisplayo',
'fell',
88 'fell_gclk',
'feof',
'ferror',
'fflush',
'fgetc',
'fgets',
'finish',
89 'floor',
'fmonitor',
'fmonitorb',
'fmonitorh',
'fmonitoro',
'fopen',
90 'fread',
'fscanf',
'fseek',
'fstrobe',
'fstrobeb',
'fstrobeh',
'fstrobeo',
91 'ftell',
'future_gclk',
'fwrite',
'fwriteb',
'fwriteh',
'fwriteo',
92 'get_coverage',
'high',
'hypot',
'increment',
'info',
'isunbounded',
93 'isunknown',
'itor',
'left',
'ln',
'load_coverage_db',
'log10',
'low',
94 'monitor',
'monitorb',
'monitorh',
'monitoro',
'monitoroff',
'monitoron',
95 'onehot',
'onehot0',
'past',
'past_gclk',
'pow',
'printtimescale',
96 'q_add',
'q_exam',
'q_full',
'q_initialize',
'q_remove',
'random',
97 'readmemb',
'readmemh',
'realtime',
'realtobits',
'rewind',
'right',
98 'rising_gclk',
'rose',
'rose_gclk',
'rtoi',
'sampled',
99 'set_coverage_db_name',
'sformat',
'sformatf',
'shortrealtobits',
100 'signed',
'sin',
'sinh',
'size',
'sqrt',
'sscanf',
'stable',
'stable_gclk',
101 'steady_gclk',
'stime',
'stop',
'strobe',
'strobeb',
'strobeh',
'strobeo',
102 'swrite',
'swriteb',
'swriteh',
'swriteo',
'sync$and$array',
103 'sync$and$plane',
'sync$nand$array',
'sync$nand$plane',
104 'sync$nor$array',
'sync$nor$plane',
'sync$or$array',
'sync$or$plane',
105 'system',
'tan',
'tanh',
'test$plusargs',
'time',
'timeformat',
106 'typename',
'ungetc',
'unpacked_dimensions',
'unsigned',
107 'value$plusargs',
'warning',
'write',
'writeb',
'writeh',
'writememb',
108 'writememh',
'writeo',
112 '`__FILE__',
'`__LINE__',
'`begin_keywords',
'`case',
'`celldefine',
113 '`endcelldefine',
'`default_nettype',
'`define',
'`default',
'`else',
114 '`elsif',
'`end_keywords',
'`endfor',
'`endif',
115 '`endprotect',
'`endswitch',
'`endwhile',
'`for',
'`format',
116 '`if',
'`ifdef',
'`ifndef',
'`include',
'`let',
117 '`line',
'`nounconnected_drive',
'`pragma',
'`protect',
'`resetall',
118 '`switch',
'`timescale',
'`unconnected_drive',
'`undef',
'`undefineall',
123 'assert',
'assume',
'cover',
'expect',
'disable',
124 'iff',
'binsof',
'intersect',
'first_match',
'throughout',
125 'within',
'coverpoint',
'cross',
'wildcard',
'bins',
126 'ignore_bins',
'illegal_bins',
'genvar',
'if',
'else',
127 'unique',
'priority',
'matches',
'default',
'forever',
128 'repeat',
'while',
'for',
'do',
'foreach',
129 'break',
'continue',
'return',
'pulsestyle_onevent',
'pulsestyle_ondetect',
130 'noshowcancelled',
'showcancelled',
'ifnone',
'posedge',
'negedge',
131 'edge',
'wait',
'wait_order',
'timeunit',
'timeprecision',
132 's',
'ms',
'us',
'ns',
133 'ps',
'fs',
'step',
'new',
'extends',
134 'this',
'super',
'protected',
'local',
'rand',
135 'randc',
'bind',
'constraint',
'solve',
'before',
136 'dist',
'inside',
'with',
'virtual',
'extern',
137 'pure',
'forkjoin',
'design',
'instance',
'cell',
138 'liblist',
'use',
'library',
'incdir',
'include',
139 'modport',
'sync_accept_on',
'reject_on',
'accept_on',
140 'sync_reject_on',
'restrict',
'let',
'until',
'until_with',
141 'unique0',
'eventually',
's_until',
's_always',
's_eventually',
142 's_nexttime',
's_until_with',
'global',
'untyped',
'implies',
143 'weak',
'strong',
'nexttime' 147 'begin',
'end',
'package',
'endpackage',
'macromodule',
148 'module',
'endmodule',
'generate',
'endgenerate',
'program',
149 'endprogram',
'class',
'endclass',
'function',
'endfunction',
150 'case',
'casex',
'casez',
'randcase',
'endcase',
151 'interface',
'endinterface',
'clocking',
'endclocking',
'task',
152 'endtask',
'primitive',
'endprimitive',
'fork',
'join',
153 'join_any',
'join_none',
'covergroup',
'endgroup',
'checker',
154 'endchecker',
'property',
'endproperty',
'randsequence',
'sequence',
155 'endsequence',
'specify',
'endspecify',
'config',
'endconfig',
156 'table',
'endtable',
'initial',
'final',
'always',
157 'always_comb',
'always_ff',
'always_latch',
'alias',
'assign',
163 'parameter',
'localparam',
'specparam',
'input',
'output',
164 'inout',
'ref',
'byte',
'shortint',
'int',
165 'integer',
'longint',
'time',
'bit',
'logic',
166 'reg',
'supply0',
'supply1',
'tri',
'triand',
167 'trior',
'trireg',
'tri0',
'tri1',
'wire',
168 'uwire',
'wand',
'wor',
'signed',
'unsigned',
169 'shortreal',
'real',
'realtime',
'type',
'void',
170 'struct',
'union',
'tagged',
'const',
'var',
171 'automatic',
'static',
'packed',
'vectored',
'scalared',
172 'typedef',
'enum',
'string',
'chandle',
'event',
173 'null',
'pullup',
'pulldown',
'cmos',
'rcmos',
174 'nmos',
'pmos',
'rnmos',
'rpmos',
'and',
175 'nand',
'or',
'nor',
'xor',
'xnor',
176 'not',
'buf',
'tran',
'rtran',
'tranif0',
177 'tranif1',
'rtranif0',
'rtranif1',
'bufif0',
'bufif1',
178 'notif0',
'notif1',
'strong0',
'strong1',
'pull0',
179 'pull1',
'weak0',
'weak1',
'highz0',
'highz1',
180 'small',
'medium',
'large' 185 'DPI',
'DPI-C',
'import',
'export',
'context' 190 'randomize',
'mailbox',
'semaphore',
'put',
'get',
191 'try_put',
'try_get',
'peek',
'try_peek',
'process',
192 'state',
'self',
'status',
'kill',
'await',
193 'suspend',
'resume',
'size',
'delete',
'insert',
194 'num',
'first',
'last',
'next',
'prev',
195 'pop_front',
'pop_back',
'push_front',
'push_back',
'find',
196 'find_index',
'find_first',
'find_last',
'find_last_index',
'min',
197 'max',
'unique_index',
'reverse',
'sort',
'rsort',
198 'shuffle',
'sum',
'product',
'List',
'List_Iterator',
199 'neq',
'eq',
'data',
'empty',
'front',
200 'back',
'start',
'finish',
'insert_range',
'erase',
201 'erase_range',
'set',
'swap',
'clear',
'purge' 206 'defparam',
'deassign',
'TODO' 211 '(',
')',
'{',
'}',
'[',
']',
'=',
'+',
'-',
'*',
'/',
'!',
'%',
215 '>',
'<',
'>=',
'<=',
218 'CASE_SENSITIVE' => array(
231 1 =>
'color: #996666; font-weight: bold;',
232 2 =>
'color: #336600; font-weight: bold;',
233 3 =>
'color: #996600; font-weight: bold;',
234 4 =>
'color: #000033; font-weight: bold;',
235 5 =>
'color: #330033; font-weight: bold;',
236 6 =>
'color: #996600; font-weight: bold;',
237 7 =>
'color: #CC9900; font-weight: bold;',
238 8 =>
'color: #990000; font-weight: bold;' 241 1 =>
'color: #00008B; font-style: italic;',
242 'MULTI' =>
'color: #00008B; font-style: italic;' 244 'ESCAPE_CHAR' => array(
245 0 =>
'color: #9F79EE' 248 0 =>
'color: #9F79EE;' 251 0 =>
'color: #FF00FF;' 254 0 =>
'color: #ff0055;' 257 1 =>
'color: #202020;',
258 2 =>
'color: #202020;' 261 0 =>
'color: #5D478B;' 264 0 =>
'color: #ff0055;',
265 1 =>
'color: #ff0055;',
266 2 =>
'color: #ff0055;',
267 3 =>
'color: #ff0055;' 287 'OBJECT_SPLITTERS' => array(
292 0 =>
"\d'[bdh][0-9_a-fA-FxXzZ]+",
294 1 =>
"\d*\.\d+[munpf]?s",
301 'SCRIPT_DELIMITERS' => array(
304 'HIGHLIGHT_STRICT_BLOCK' => array(
308 'PARSER_CONTROL' => array(
311 'DISALLOWED_BEFORE' =>
'(?<=$)' const GESHI_COMMENTS
Used in language files to mark comments.
const GESHI_NEVER
#+ private
const GESHI_CAPS_NO_CHANGE
Lowercase keywords found.