46 'LANG_NAME' =>
'Verilog',
47 'COMMENT_SINGLE' => array(1 =>
'//'),
48 'COMMENT_MULTI' => array(
'/*' =>
'*/'),
49 'COMMENT_REGEXP' => array(1 =>
'/\/\/(?:\\\\\\\\|\\\\\\n|.)*$/m'),
51 'QUOTEMARKS' => array(
'"'),
52 'ESCAPE_CHAR' =>
'\\',
57 'always',
'always_comb',
'always_ff',
'always_latch',
'and',
'assert',
58 'assign',
'assume',
'automatic',
'before',
'begin',
'bind',
'bins',
'binsof',
59 'bit',
'break',
'buf',
'bufif0',
'bufif1',
'byte',
'case',
'casex',
'casez',
60 'cell',
'chandle',
'checker',
'class',
'clocking',
'cmos',
'config',
'const',
61 'constraint',
'context',
'continue',
'cover',
'covergroup',
'coverpoint',
'cross',
62 'deassign',
'default',
'defparam',
'design',
'disable',
'dist',
'do',
'edge',
'else',
63 'end',
'endcase',
'endchecker',
'endclass',
'endclocking',
'endconfig',
64 'endfunction',
'endgenerate',
'endgroup',
'endinterface',
'endmodule',
65 'endpackage',
'endprimitive',
'endprogram',
'endproperty',
'endspecify',
66 'endsequence',
'endtable',
'endtask',
'enum',
'event',
'eventually',
'expect',
67 'export',
'extends',
'extern',
'final',
'first_match',
'for',
'force',
'foreach',
68 'forever',
'fork',
'forkjoin',
'function',
'generate',
'genvar',
'global',
69 'highz0',
'highz1',
'if',
'iff',
'ifnone',
'ignore_bins',
'illegal_bins',
70 'implies',
'import',
'incdir',
'include',
'initial',
'inout',
'input',
'inside',
71 'instance',
'int',
'integer',
'interface',
'intersect',
'join',
'join_any',
72 'join_none',
'large',
'let',
'liblist',
'library',
'local',
'localparam',
73 'logic',
'longint',
'macromodule',
'matches',
'medium',
'modport',
'module',
'nand',
74 'negedge',
'new',
'nexttime',
'nmos',
'nor',
'noshowcancelled',
'not',
'notif0',
75 'notif1',
'null',
'or',
'output',
'package',
'packed',
'parameter',
'pmos',
'posedge',
76 'primitive',
'priority',
'program',
'property',
'protected',
'pull0',
'pull1',
77 'pulldown',
'pullup',
'pulsestyle_ondetect',
'pulsestyle_onevent',
'pure',
78 'rand',
'randc',
'randcase',
'randsequence',
'rcmos',
'real',
'realtime',
'ref',
79 'reg',
'reject_on',
'release',
'repeat',
'restrict',
'return',
'rnmos',
'rpmos',
80 'rtran',
'rtranif0',
'rtranif1',
's_always',
's_eventually',
's_nexttime',
81 's_until',
's_until_with',
'scalared',
'sequence',
'shortint',
'shortreal',
82 'showcancelled',
'signed',
'small',
'solve',
'specify',
'specparam',
'static',
83 'string',
'strong',
'strong0',
'strong1',
'struct',
'super',
'supply0',
'supply1',
84 'sync_accept_on',
'sync_reject_on',
'table',
'tagged',
'task',
'this',
'throughout',
85 'time',
'timeprecision',
'timeunit',
'tran',
'tranif0',
'tranif1',
'tri',
'tri0',
86 'tri1',
'triand',
'trior',
'trireg',
'type',
'typedef',
'union',
'unique',
'unique0',
87 'unsigned',
'until',
'until_with',
'untyped',
'use',
'uwire',
'var',
'vectored',
88 'virtual',
'void',
'wait',
'wait_order',
'wand',
'weak',
'weak0',
'weak1',
'while',
89 'wildcard',
'wire',
'with',
'within',
'wor',
'xnor',
'xor' 93 '$display',
'$monitor',
94 '$dumpall',
'$dumpfile',
'$dumpflush',
'$dumplimit',
'$dumpoff',
95 '$dumpon',
'$dumpvars',
96 '$fclose',
'$fdisplay',
'$fopen',
97 '$finish',
'$fmonitor',
'$fstrobe',
'$fwrite',
98 '$fgetc',
'$ungetc',
'$fgets',
'$fscanf',
'$fread',
'$ftell',
99 '$fseek',
'$frewind',
'$ferror',
'$fflush',
'$feof',
101 '$readmemb',
'$readmemh',
'$readmemx',
102 '$signed',
'$stime',
'$stop',
103 '$strobe',
'$time',
'$unsigned',
'$write' 107 '`default-net',
'`define',
108 '`celldefine',
'`default_nettype',
'`else',
'`elsif',
'`endcelldefine',
109 '`endif',
'`ifdef',
'`ifndef',
'`include',
'`line',
'`nounconnected_drive',
110 '`resetall',
'`timescale',
'`unconnected_drive',
'`undef' 114 '(',
')',
'{',
'}',
'[',
']',
'=',
'+',
'-',
'*',
'/',
'!',
'%',
118 '>',
'<',
'>=',
'<=',
121 'CASE_SENSITIVE' => array(
129 1 =>
'color: #A52A2A; font-weight: bold;',
130 2 =>
'color: #9932CC;',
131 3 =>
'color: #008800;' 134 1 =>
'color: #00008B; font-style: italic;',
135 'MULTI' =>
'color: #00008B; font-style: italic;' 137 'ESCAPE_CHAR' => array(
138 0 =>
'color: #9F79EE' 141 0 =>
'color: #9F79EE;' 144 0 =>
'color: #FF00FF;' 147 0 =>
'color: #ff0055;' 150 1 =>
'color: #202020;',
151 2 =>
'color: #202020;' 154 0 =>
'color: #5D478B;' 157 0 =>
'color: #ff0055;',
158 1 =>
'color: #ff0055;',
173 'OBJECT_SPLITTERS' => array(
178 0 =>
"\d'[bdh][0-9_a-fA-FxXzZ]+",
180 1 =>
"1[0]{0,2}[munpf]?s" 183 'SCRIPT_DELIMITERS' => array(
186 'HIGHLIGHT_STRICT_BLOCK' => array(
$language_data
verilog.php Author: Günter Dannoritzer dannoritzer@web.de Copyright: (C) 2008 Günter Dannoritzer Rele...
const GESHI_COMMENTS
Used in language files to mark comments.
const GESHI_NEVER
#+ private
const GESHI_CAPS_NO_CHANGE
Lowercase keywords found.